CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - state machine

搜索资源列表

  1. two-of-the-state-machine-written

    0下载:
  2. 文档中主要介绍状态机的两种写法--竖着写(在状态中判断事件)和横着写(在事件中判断状态)。-The document introduces two of the state machine written- bristling write (write (in the incident to determine the state judge in the state in the event) and sideways).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:6043
    • 提供者:郑星
  1. Enumeration-type-state-machine

    0下载:
  2. 使用列举类型的状态机VHDL语言编写,亲自运行,无错-Enumerated state machine VHDL language, personally run error-free
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:1212
    • 提供者:邹德超
  1. The-state-machine

    0下载:
  2. 本文的重点就在于,怎样利用状态机原理进行程序设计。本文会先给出普通 的、一个平面上的FSM(有限状态机)的概念和实例,并指出其中的一些缺点, 然后引出本文的重点HSM(层次状态机)的概念和设计方法。为了使本文既可以 作为设计方法的参考,又可以作为实现方法的参考,本文会给出FSM和HSM的 C语言实现-The focus of this article is that, and how to make use of the principle of the state machin
  3. 所属分类:software engineering

    • 发布日期:2017-11-06
    • 文件大小:194988
    • 提供者:wu
  1. state-machine-with-51

    0下载:
  2. 状态机在51单片机得实现,详细介绍了状态机原理,然后在51上的应用。-The state machine was realized in MCS-51, described in detail the principles of the state machine, and then 51.
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:40564
    • 提供者:wu
  1. state-machine-with-module

    0下载:
  2. 简单地说,状态机又称之为有限状态机, 状态机系统有 N 个(有限个)状态,任一时刻,系统都工作于其中的一个状态,当有输入 (激励)时,系统执行某些动作,并切换到下一状态-Said state machine is also known as a finite state machine, the state machine system there are N (a finite number of) state at any one time, the system works in
  3. 所属分类:software engineering

    • 发布日期:2017-11-25
    • 文件大小:55860
    • 提供者:wu
  1. UART-finite-state-machine

    0下载:
  2. 基于Verilog语言的,用有限状态机实现Uart,很实用-UART design based on finite state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:222755
    • 提供者:黎杰
  1. State-Machine-Template

    0下载:
  2. 状态机 模板 PICC PIC16F877A-The state machine template PICC PIC16F877A
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:3070
    • 提供者:康琰
  1. Finite-State-Machine-

    0下载:
  2. Finite State Machine Datapath Design Optimization and Implementation
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:2000040
    • 提供者:邱劲松
  1. state-machine-

    0下载:
  2. 用状态机原理进行软件设计,最近收集的关于状态机编程的资料,里面包括基于状态机的按键驱动和菜单界面开发-The state machine software design principles, recently collected the information about the state machine programming, which includes a state machine-based and menu driven interface development key
  3. 所属分类:software engineering

    • 发布日期:2017-05-26
    • 文件大小:9175487
    • 提供者:陈帆
  1. How-to-write-state-machine

    0下载:
  2. 怎样写好状态机,很有启示的一篇文章,看了感觉不错-How to write state machine, very enlightening article
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:265077
    • 提供者:lyz
  1. 2-Process-State-Machine

    0下载:
  2. 经典双进程状态机(含test beach)-Classic 2-Process State Machine and Test Bench
  3. 所属分类:source in ebook

    • 发布日期:2017-04-11
    • 文件大小:943
    • 提供者:MOHAMAD
  1. finite state machine

    0下载:
  2. finite state machine in verilog use quartus to program it into FPGA
  3. 所属分类:DSP编程

    • 发布日期:2013-10-19
    • 文件大小:2951125
    • 提供者:ncku185
  1. LabVIEW-state-machine

    1下载:
  2. LabVIEW状态机实用例子,利用状态机方法实现的游戏例子-LabVIEW state machine practical examples, using the state machine approach of the game examples
  3. 所属分类:LabView

    • 发布日期:2017-04-10
    • 文件大小:1330841
    • 提供者:xuzhihong
  1. The-state-machine-demo

    0下载:
  2. 状态机演示程序。开始时,开始按钮点亮,点击下一步,表示状态的布尔灯顺序点亮,点击下一步,按照状态三、二、一变化。-State machine demonstration program. Initially, the Start button is lit, click Next, indicate the state of the Boolean lights illuminate sequentially, click Next and follow the state three, two
  3. 所属分类:LabView

    • 发布日期:2017-04-06
    • 文件大小:15491
    • 提供者:王婷
  1. Query-state-machine-core-code

    0下载:
  2. labview状态机查询机制,各种状态的跳转机制-labview core code queries the state machine to achieve the status of a jump
  3. 所属分类:LabView

    • 发布日期:2017-04-16
    • 文件大小:111816
    • 提供者:yang
  1. MCU-state-machine

    0下载:
  2. 详细描述了如何实现状态机,对单片机的程序设置结构有很大的帮助-A detailed descr iption of how to implement a state machine , set the structure for SCM program is very helpful
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:29216
    • 提供者:liu
  1. State-machine-module

    0下载:
  2. 描述一种新型的状态机模块(uHsm)实现方法,更好地实现了状态机,并且从综合折中考察, 具有相当的比较优势。主要的优势是逻辑严密、性能高效、对状态图的运作最接近状态图理 论的理想概念,因而需要特别处理(因此也就特别容易产生偏差)的逻辑点少,特别适合运行 稳定性高、资源不丰富的嵌入式环境。-Describes a new state machine module (uHsm) method, the state machine to achieve a better and compr
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:342527
    • 提供者:ZBL
  1. Pointer-state-machine

    0下载:
  2. 相对所谓的传统状态机编写方式来说,使用函数指针作为中间介质来进行间接调用的方式就是所谓的“指针法状态机”。 指针法状态机其本质与合作式调度器几乎就是同义词,或者说是调度器的一个“状态机”编程模式-Relative to write so-called traditional state machine approach, using a function pointer as an intermediate medium for indirect way is to invoke the s
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:32832
    • 提供者:ZBL
  1. Serial-port-state-machine-to-receive

    0下载:
  2. 串口状态机接受的源码,写的很不错,效率很高。-Serial port state machine source code, very good efficiency
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-13
    • 文件大小:2145
    • 提供者:eric
  1. Finite-state-machine-design-part

    0下载:
  2. VHDL语言 有限状态机交通灯的设计 有限状态机设计部分-VHDL language finite state machine design of traffic lights finite state machine design part
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:6597
    • 提供者:赵小川
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com