CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - state machine

搜索资源列表

  1. Finite-State-Machines

    0下载:
  2. 状态机,FPGA实验alter DE2开发板自带光盘的案例教程编程解析-State machine FPGA experiments alter the DE2 development board comes with a CD case tutorial programming resolution
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:12210
    • 提供者:冷静
  1. state

    0下载:
  2. 基于流水灯的简易有限循环状态机,包含四个状态。-Based on simple water light cycle state machine, including the four states.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:298915
    • 提供者:fpga
  1. state

    0下载:
  2. 状态机程序,具有简易功能的自动贩卖机verilog hdl-Program of the state machine, vending machine with a simple function verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2780229
    • 提供者:
  1. Status-Machine

    0下载:
  2. 状态机使用方法及讲解,加强对状态机的熟悉程度,灵活运用这一有用的机制-Use of the state machine and explain, to enhance the degree of familiarity with the state machine, flexibility in the use of this useful mechanism
  3. 所属分类:IT Hero

    • 发布日期:2017-11-23
    • 文件大小:342707
    • 提供者:学无止境
  1. Automatic-beverage-vending-machine

    0下载:
  2. 自动售饮料机,只可投入5毛和1块钱,每瓶饮料为2.5元,要求应用状态机设计该系统,并编写Testbench。 输入信号定义: clk:时钟输入 ngreset:复位信号 half_yuan:五毛钱 one_yuan:一元钱 输出信号定义: dispense:表示机器售出一瓶饮料 collect:用于提示投币者取走饮料 half_out:表示找回五毛钱-Drinks vending machine can only be put into hair
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:607
    • 提供者:seven
  1. Buckland_Chapter2-State-Machines

    0下载:
  2. 这里一个有限状态机的例子,适合学习用,里面有三个程序,都是不同的程序,也有一个Common的文件,在VC++平如下运行时要导入这个文件,否刚系统编译找不到头文件而报-Here a finite state machine of example, suitable for learning to use, inside there are three procedures are different procedures, but also have a Common s file, VC++ l
  3. 所属分类:Other systems

    • 发布日期:2017-11-08
    • 文件大小:3369130
    • 提供者:陈官林
  1. state-module

    0下载:
  2. 描述一种新型的状态机模块(uHsm)实现方法,更好地实现了状态机-Describes a new state machine module (uHsm) method, to better achieve a state machine
  3. 所属分类:software engineering

    • 发布日期:2017-11-25
    • 文件大小:626741
    • 提供者:wu
  1. state

    0下载:
  2. 简单状态机数码管显示,Quartus II VHDL设计语言-Asimple state machine digital tube display, Quartus II VHDL design language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1094
    • 提供者:Any
  1. while-state-machine

    0下载:
  2. 两个布尔控件的状态随着确定按钮的改变而变换。-Two Boolean control state changes with the OK button and transformation.
  3. 所属分类:LabView

    • 发布日期:2017-04-08
    • 文件大小:7672
    • 提供者:王婷
  1. Set-state-machine-core-code

    0下载:
  2. 实现状态机的跳转,状态的增加,状态的跳转-Jump jump to implement state machines, the state is increased, the state
  3. 所属分类:LabView

    • 发布日期:2017-04-25
    • 文件大小:16413
    • 提供者:yang
  1. Finite-State-Machines

    0下载:
  2. 此壓縮檔包含四個資料夾(1)Moore Machine(2)Mealy Machine(3)Memory(4)A mini system,學習如何以階層化的方法去撰寫系統內部的小工作區塊,並了解迷你CPU內部的記憶體簡單的運作情形&資料串流-design the finite state machine and the mini system.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:9738
    • 提供者:sara kuo
  1. Bank-Teller-Machine-simulation

    0下载:
  2. 模拟银行存取款机 是对状态机的一个简单应用-Bank Teller Machine simulation is a simple state machine application
  3. 所属分类:LabView

    • 发布日期:2017-04-16
    • 文件大小:25343
    • 提供者:yhz
  1. vending-machine

    0下载:
  2. labview 状态机的 经典例子:投币机-labview classic example of a state machine: Coin Machine
  3. 所属分类:LabView

    • 发布日期:2017-04-28
    • 文件大小:10411
    • 提供者:liu Zhipeng
  1. serio-machine

    0下载:
  2. 串口通信数据包状态机算法的解析代码片段,该代码片段可作为工程应用中上位机和下位机串口帧协议解析的参考,实现了一种基于状态机解析算法-Serial communication packet state machine algorithm for parsing code fragment, the code fragment can be used as engineering applications, PC and slave serial protocol analysis frame of
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-12
    • 文件大小:894
    • 提供者:QIANGGE
  1. vending-machine-based-on-FSM

    0下载:
  2. 基于FSM的自动售货机程序,借助FSM(有限状态机)完成投币、出货等任务。-The vending machine based on FSM, with the aid of FSM (finite state machine) complete pay, shipment and other tasks.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-28
    • 文件大小:11158
    • 提供者:老孟
  1. state

    0下载:
  2. 交通灯的状态机,希望用的到的同学能从中获益-Traffic light state machine, in the hope to benefit the students
  3. 所属分类:LabView

    • 发布日期:2017-04-29
    • 文件大小:17684
    • 提供者:张玉
  1. state-machine_TC_SYS

    0下载:
  2. 在LABview环境下,利用状态机的转换关系实现恒温自动调节。-In the LABview environment, the use of the state machine to achieve the conversion of constant temperature automatic adjustment.
  3. 所属分类:LabView

    • 发布日期:2017-04-30
    • 文件大小:47047
    • 提供者:wilten
  1. state machine_bank access

    0下载:
  2. 在labview平台通过状态机模型实现模拟银行存取功能。(Simulation bank access function realized by state machine model.)
  3. 所属分类:LabView编程

    • 发布日期:2017-12-22
    • 文件大小:129024
    • 提供者:49943
  1. machine

    0下载:
  2. Simple finite state machine on Altera Cyclone II
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:290816
    • 提供者:godup
  1. state

    0下载:
  2. 简单的状态机,有8个状态,数码管输出当前状态的编号(A simple state machine, there are eight state, digital tube output the serial number of the current state)
  3. 所属分类:其他

    • 发布日期:2018-04-19
    • 文件大小:3098624
    • 提供者:qing wang
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com