CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - taximeter vhdl

搜索资源列表

  1. taxi

    0下载:
  2. 用vhdl语言编写,能实现功能强大的出租车计价功能.-Vhdl language used, to achieve Taximeter powerful features.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5838041
    • 提供者:龙龙
  1. jijiaqi

    0下载:
  2. 利用VHDL语言实现的实用出租车计价器程序 -The practical use of VHDL language implementation procedures Taximeter
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-30
    • 文件大小:5143
    • 提供者:小涛
  1. Taximeterproceduresandsimulationwithvhdl

    0下载:
  2. 出租车计价器VHDL程序与仿真。程序最后包括了程序仿真图和出租计价器程序仿真图。-Taximeter procedures and simulation of VHDL. Finally simulation program includes the program plan and rental pricing program simulation diagram.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:89469
    • 提供者:Zhu
  1. chuzucheVHDL

    0下载:
  2. 用VHDL写的出租车计价程序,拥有详细的说明-Taximeter written with VHDL program, has a detailed descr iption of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1219
    • 提供者:刘伟
  1. ChuZuCheJiJiaQi

    0下载:
  2. 出租车计价器VHDL程序与仿真 --功能:出租车计价器。 --最后修改日期:2009.4.9。-taximeter of taxi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:85872
    • 提供者:梁辰
  1. taximeter

    0下载:
  2. 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元; 行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1。0元/公里,则脉冲当
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:88018
    • 提供者:占斌
  1. e0ea34e36c4c

    0下载:
  2. 一份用VHDL语言写的出租车计价器,于Quartus II上仿真。以供需要者参考使用!-A write VHDL Taximeter on in the Quartus II simulation. Reference for those who need to use!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:28029
    • 提供者:雪圣
  1. taxi

    0下载:
  2. 出租车计价器VHDL程序 The Taximeter VHDL program-The Taximeter VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:27809
    • 提供者:ll
  1. Taximeter-VHDL

    0下载:
  2. 基于FPGA的出租车计价器,包括计程、计时、计费模块-FPGA-taxi meter, including the meter, timing, billing module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:12073
    • 提供者:徐清源
  1. Taximeter

    0下载:
  2. 采用VHDL编写出租车计费器系统程序,采用MAX+PLUSⅡ软件作为开发平台,进行了程序仿真,验证设计 实现了出租车计价器的相关功能。-Several current problemsarediscussedandonekindof designscheme for themultifunctional taximeter basedonPIC16F877isprovided. It realizesthenormal pricing. Still it hasthefunctionof
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:388260
    • 提供者:Ruirui
  1. chuzuchejijiaxitongdeFPGAsheji

    0下载:
  2. 介绍了出租车计价器系统在实际生产生活中的重要性。根据预定的设计要求和设计思路,使用VHDL硬件描述 语言设计了一个实际的基于AlteraFPGA芯片的出租车计价系统,介绍了该系统的电路结构和程序设计。通过实验模拟, 得到了关键的设计结果和在QuartusII5.0软件下的仿真波形。经分析软件仿真的波形和硬件调试结果,证明该出租车计 价系统具有实用出租车计价器的基本功能,如能进一步完善,将可以实用化和市场化。-In this paper, theimportanceof taximet
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:552990
    • 提供者:Ruirui
  1. 8.22taxi-of-vhdl

    0下载:
  2. 出租车计价器VHDL程序与仿真:出租车计价器.-Taximeter procedures and VHDL simulation: the taxi meter.
  3. 所属分类:MPI

    • 发布日期:2017-12-01
    • 文件大小:86650
    • 提供者:jack
  1. 8.22-出租车计价器VHDL程序与仿真

    0下载:
  2. 出租车计价器VHDL程序与仿真,文件是word文件,里面有源程序,程序说明,以及仿真结果图。-Taximeter procedures and VHDL simulation, the file is a word file inside the source code, program descr iption, and the simulation results in Fig.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:86016
    • 提供者:张林
  1. Taxi-Charging-VHDL

    1下载:
  2. 一个用VHDL写的出租车计价器例子,采用数码管显示,对里程和费用的显示计算。-Taximeter example, to write a VHDL digital display that displays the calculated mileage and expenses.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:709814
    • 提供者:yilin
  1. Taximeter-procedures

    0下载:
  2. 使用VHDL编写的出租车计价器程序与仿真,简便、功能强大。-Use of VHDL Taximeter procedures and simulation, simple and powerful.
  3. 所属分类:Delphi VCL

    • 发布日期:2017-11-13
    • 文件大小:28111
    • 提供者:ludongdong
  1. TAXI_VHDL

    0下载:
  2. 出租车计价器的VHDL设计与仿真,以通过验证!-Taximeter VHDL design and simulation, in order to pass validation!
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:86001
    • 提供者:shen
  1. taximoneycounter

    0下载:
  2. 用VHDL语言实现出租车计价器,包括程序及仿真波形-With VHDL taximeter, including procedures and simulation waveforms
  3. 所属分类:source in ebook

    • 发布日期:2017-04-05
    • 文件大小:231851
    • 提供者:zhu xia
  1. chuzuche

    0下载:
  2. 基于VHDL的出租车计价器的实现,能够实现起步价,按公里数计价以及公里数的显示-Taximeter VHDL-based implementation, to achieve the starting price, denominated by the number of kilometers and kilometers of display
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:181000
    • 提供者:hanhui
  1. taximeter

    0下载:
  2. 利用 VHDL语言、PLD设计出租车计费系统,采用VHDL编写租车计费器系统程序,采用MAX+PLUSII软件作为开发平台,进行了程序仿真,验证设计实现了出租车 计价器的相关功能。 .-Using VHDL, PLD design taxi billing system, using VHDL prepared Car billing system procedures, using MAX+PLUSII software as a development platform for the si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:553998
    • 提供者:zhonga
  1. car-VHDl

    0下载:
  2. 计程车计程器,FPGA实现,用VHDL实现,包括LCD12864驱动程序-Taxi taximeter, FPGA implementation, using VHDL realization, including LCD12864 driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6146237
    • 提供者:jason
« 1 23 »
搜珍网 www.dssz.com