CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 设计

搜索资源列表

  1. i2c

    0下载:
  2. I2C协议verilog源码,包含完整的测试代码及设计文档。-Verilog source I2C protocol, including the complete test code and design documents.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:351174
    • 提供者:高浩志
  1. book

    0下载:
  2. Verilog HDL与VHDL都是数字系统设计的硬件描述语言,VerilogHDL适合算法级,rtl,逻辑级,门级,而VHDL适合特大型的系统级设计。针对这些特点这两本书深入浅出的介绍了这两种语言。-Verilog HDL and VHDL design of digital systems is the hardware descr iption language, VerilogHDL suitable algorithm level, rtl, logic level, gate-lev
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-03
    • 文件大小:15563097
    • 提供者:龙英
  1. miaobiao

    0下载:
  2. 秒表功能,自带工程,EDA的设计平台QuartusⅡ-Stopwatch functions, bring their own works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1589031
    • 提供者:huliyan
  1. Design_and_verification_verilog_hdl

    0下载:
  2. 设计与验证verilog hdl配套光盘-Design and verification verilog hdl" supporting CD-ROM
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:2042952
    • 提供者:zhc
  1. ZBTSRAM

    0下载:
  2. 高速同步SRAM控制器参考设计VHDL代码-High-speed synchronous SRAM controller reference design VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:7913
    • 提供者:wang
  1. Verilog

    0下载:
  2. ADSP SHARC系列DSP应用系统设计
  3. 所属分类:DSP program

    • 发布日期:2017-05-12
    • 文件大小:2765974
    • 提供者:王新
  1. DigitalWatchVerilog

    0下载:
  2. 一个用Verilog实现的数字跑表的程序 希望对你的设计有帮助-With the realization of a digital stopwatch Verilog process of design you would like to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:676
    • 提供者:YangPeng
  1. vhdl

    0下载:
  2. :以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。-: A Shanghai taxi meter area for example, the use of Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:212299
    • 提供者:mindy
  1. verilog

    0下载:
  2. 连续输入数据的课程设计 是我自己的一个课程设计希望大家能觉得好-Input data for the curriculum design
  3. 所属分类:Education soft system

    • 发布日期:2017-04-17
    • 文件大小:370454
    • 提供者:果子
  1. verilog

    0下载:
  2. 经典verilog实例,将近130多个。包含大部分设计基础实例,有益于初学者学习。-Classic example of verilog, nearly more than 130. Contains examples of most of the design basis, the benefit of beginners learning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:558
    • 提供者:liuchao
  1. wierlesscommunicationfpgadesignmatlabverilogcode.r

    0下载:
  2. 无线通信FPGA设计的所有源码,具有良好的使用价值-verilog matlab ISE
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:213663
    • 提供者:吕鑫宇
  1. Verilog

    0下载:
  2. verilog HDL语言使用手册,包括:语法规则,RTL设计思想和方案等-verilog HDL language user manual, including: grammar rules, RTL design ideas and programs
  3. 所属分类:Document

    • 发布日期:2017-05-12
    • 文件大小:2939801
    • 提供者:徐杰猛
  1. trafficlight

    0下载:
  2. 基于quartus 6.0的课设设计,非源码,系统设计方案-Quartus 6.0 based on the design of the class-based, non-source, system design
  3. 所属分类:Project Design

    • 发布日期:2017-03-25
    • 文件大小:675347
    • 提供者:陈晨
  1. 1

    0下载:
  2. 串并滤波器(FPGA源码),基于QuartusII开发设计实现的串并滤波器.-String and filter (FPGA source code), based on the achievement of development and design of QuartusII and filter string.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6808
    • 提供者:南才北往
  1. RD1011_rev01.2

    0下载:
  2. 采用VHDL实现的UART硬件模块,该模块包括了modem的硬件实现,已经仿真测试代码,顶层模块可以采用VHDL或verilog实现,便于嵌入到自己的设计之中。文档中附有详细的使用说明和注释。-Achieved using VHDL hardware UART module, the module includes the hardware modem has simulation test code modules can be used top-level VHDL or verilog t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:217592
    • 提供者:pd
  1. MPSK

    0下载:
  2. MPSK调制与解调系统设计和VHDL程序与仿真-MPSK modulation and demodulation system design and simulation of VHDL procedures and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:80185
    • 提供者:jack wolf
  1. dds_easy

    1下载:
  2. 直接频率合成DDS模块的ise工程,可以直接下载,在Spartan3/Spartan3E上验证通过。该DDS模块可以产生双通道的不同频率的正弦波,也可以产生同频的任意相位差的相移波形。本模块累加器位数为32位,可以产生12位相位精度12位量化精度的正弦波。该设计例化一个Block Ram,为节省储存空间仅需要储存1/4周期的数据。根据需要,可以重新修改数据,改变波形。-DDS direct frequency synthesizer module ,ise project, can be dir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:470776
    • 提供者:郭先生
  1. BP062-BU-01000-r0p0-00rel0[1][1].tar

    3下载:
  2. AXI协议检查器,由ARM公司开发对于想开发AXI master和slave模型的ASIC设计人员非常有用!-AXI protocol checker, developed by ARM to develop for the AXI master and slave model is very useful ASIC designers!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:313324
    • 提供者:李忠孝
  1. systemverilog

    2下载:
  2. system verilog 是国际流行的设计和验证语言,根据语言的特点分为两部分:for设计和for验证。另外一种书是介绍如何应用system verilog, 如果你要用syntem verilog, 推荐先读一下。-system verilog is popular hardware design and verification language. The languange compose of two part: systemverilog for desin , system ve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6113852
    • 提供者:jhv
  1. Verilog_135example

    0下载:
  2. Verilog的135个经典设计实例包括常用的程序、函数等-Verilog design 135 Classic examples include the commonly used procedure, function, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:113240
    • 提供者:iPhoenix
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 50 »
搜珍网 www.dssz.com