CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 设计

搜索资源列表

  1. verilog-hdl

    0下载:
  2. 王金明:《Verilog HDL 程序设计教程》,包括Verilog HDL的程序,对于初学者有一定的帮助-Wang Jinming: Verilog HDL programming tutorial, including Verilog HDL program, help for beginners
  3. 所属分类:software engineering

    • 发布日期:2017-11-11
    • 文件大小:161242
    • 提供者:James
  1. 高速图像压缩编码器的VLSI结构设计研究

    0下载:
  2. 高速图像压缩编码器的VLSI结构设计研究.kdh 相当有水平的博士论文。里面详细讲到了如何设计小波变换VLSI结构。并对verilog hdl设计结构进行了评估-The high-speed image compression VLSI architecture design of the encoder the study. Kdh quite the level of Ph.D. thesis. Which talked about in detail how to design VLSI
  3. 所属分类:行业发展研究

    • 发布日期:2017-11-01
    • 文件大小:1734244
    • 提供者:木阮清
  1. Dragon-Heart_VERILOG.doc

    0下载:
  2. 神州龙芯cpu的verilog设计规范,本规范适用于下列三种 Verilog代码文件的编写:1)可综合逻辑部件;2)虚拟部件(Virtual Component--VC);3)测试模块(testbenches)。-The verilog design specification of BLX cpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:66156
    • 提供者:Victor
  1. cshiyan2012

    2下载:
  2. 基于EDA软件平台上,用硬件描述语言verilog设计完成分频器、计数器、串行移位输出器、伪码发生器、QPSK I/Q调制器、QPSK I/Q解调器,基于选项法中频调制器,再将各个模块综合起来组成一个完整系统;并用quartusII软件对其进行仿真验证。-EDA software platform based on the hardware descr iption language verilog design complete shift of the frequency divider,
  3. 所属分类:STL

    • 发布日期:2014-01-27
    • 文件大小:1906064
    • 提供者:赵旋
  1. DS18B20

    0下载:
  2. 基于FPGA温度传感器DS18B20的Verilog设计-Verilog design based on FPGA temperature sensor DS18B20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:898773
    • 提供者:yuyu
  1. Verilog-HDL-Digital-Design

    0下载:
  2. Verilog HDL 数字设计与综合 夏宇闻-Verilog HDL Digital Design and Xia Wen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:12141572
    • 提供者:梁康
  1. CIC_fir-Verilog

    0下载:
  2. 本程序是一个CIC滤波器设计,有助于初学者对滤波器设计设计有一个初步的了解-CIC fir
  3. 所属分类:MPI

    • 发布日期:2017-11-28
    • 文件大小:742
    • 提供者:colin
  1. Verilog

    1下载:
  2. RAM ,IFFO实现字节的存储器设计,经过验证-RAM, IFFO bytes of memory design, proven
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:116054
    • 提供者:an
  1. Verilog-HDL

    0下载:
  2. 设计与验证:Verilog HDL(清晰带书签)---学习Verilog HDL的很好的资料,这个PDF清晰还带书签,愿能够帮助你。-this material about Learning Verilog HDL is very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:12792862
    • 提供者:占欣
  1. frequency---base-on-verilog

    0下载:
  2. 基于verilog的数字频率计设计(源码)-frequency design base on verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:980
    • 提供者:afei
  1. ALU

    0下载:
  2. 计算机ALU的verilog设计,能够实现加减与或运算-Computer ALU verilog design can add and subtract with or computing
  3. 所属分类:software engineering

    • 发布日期:2017-11-18
    • 文件大小:2347
    • 提供者:hello
  1. VERILOG

    0下载:
  2. 王金明老师的数字系统设计与Verilog HDL中,讲述的100个实例的Verilog源代码-Wang Jinming teacher " Digital System Design with Verilog HDL" about 100 instances of Verilog source code
  3. 所属分类:source in ebook

    • 发布日期:2017-11-22
    • 文件大小:171891
    • 提供者:lnf
  1. io_uart

    0下载:
  2. verilog设计的32位IO口扫描后通过串口发送到计算机-Verilog design of 32 bit IO export after scanning through the serial port to the computer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:2066
    • 提供者:朱林
  1. fpga-verilog

    0下载:
  2. 基于fpga-verilog的音频设计,实现音频功能-the fpga-verilog Audio Design
  3. 所属分类:Project Design

    • 发布日期:2017-11-08
    • 文件大小:489626
    • 提供者:周磊
  1. verilog

    0下载:
  2. jpeg源码,图像编码的硬件描述语言设计,可用作硬件加速处理参考-jpeg source, image coding hardware descr iption language design
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:80761
    • 提供者:李刚
  1. Wang-Jinming-Verilog-HDL--program

    0下载:
  2. 王金明:《Verilog HDL 程序设计教程》程序,对于初学者来说很嗨,代码很多,从简到难-Wang Jinming Verilog HDL programming tutorial program
  3. 所属分类:source in ebook

    • 发布日期:2017-11-05
    • 文件大小:189175
    • 提供者:张阳
  1. verilog

    0下载:
  2. 文档给出了verilog数字系统设计的6个实践项目的详细设计过程,包括设计思路、顶层设计和各个模块设计的源码和详细说明-The document gives 6 verilog digital system design practice project detailed design process, including source code and a detailed descr iption of the design ideas, the top-level design and m
  3. 所属分类:Project Design

    • 发布日期:2017-11-30
    • 文件大小:626981
    • 提供者:Lisa
  1. System-Verilog-and-HDL-skills

    1下载:
  2. 这个教程讲了如何用SystemVerilog写一个CPU,这个教程是和视频专辑http://i.youku.com/u/UMTExNzExOTgw/videos一起使用的,而且里面讲了一些FPGA的逻辑设计技巧-This tutorial about how to use SystemVerilog write a CPU, this tutorial is used in conjunction with, and the video album http://i.youku.com/u/UM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:3183976
    • 提供者:易瑜
  1. Matlab-verilog

    0下载:
  2. 《无线通信FPGA设计》一书中例子的Matlab及verilog代码-The example Matlab FPGA design of wireless communication, " a book and verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:207874
    • 提供者:liuxiaoyu
  1. ADLL-verilog-code

    0下载:
  2. 数字锁相环的设计代码,完整的,希望能帮到大家-PLL phase-locked loop
  3. 所属分类:software engineering

    • 发布日期:2017-11-09
    • 文件大小:1286
    • 提供者:zheng chao
« 1 2 ... 26 27 28 29 30 3132 33 34 35 36 ... 50 »
搜珍网 www.dssz.com