CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 设计

搜索资源列表

  1. Verilog-HDL--examples

    0下载:
  2. 王金明:《Verilog HDL 程序设计教程》书中的全部范例,pdf版本。-Wang Jinming: " Verilog HDL Programming Guide" all examples in the book, pdf version.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-29
    • 文件大小:113849
    • 提供者:fang
  1. Verilog-source130

    0下载:
  2. Verilog HDL 源代码设计 一共有130个例子,欢迎下载-Verilog HDLsource130
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:176793
    • 提供者:wangxiaoming
  1. verilog-HDL

    0下载:
  2. 蜂鸣器的FPGA设计,verilog语言,工程文件全-Buzzer FPGA-based design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3914776
    • 提供者:Qiu,Kitty
  1. verilog-code-style-specification

    0下载:
  2. 企业用verilog代码风格规范 本规范规定了IC设计项目开发过程中VerilogHDL源代码的编写总则、要求及模板文件。-Enterprises with verilog code style guide for the preparation of this specification General IC design project development process VerilogHDL source code, requirements and template files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2123618
    • 提供者:
  1. verilog-experience-for-beginners

    0下载:
  2. VerilogHDL语言的设计经验,适合初学者入门学习,包含了Verilog编写时需要注意的很多方面,很有参考价值。-VerilogHDL language of design experience, suitable for beginners to learn, including the need to pay attention when writing Verilog many aspects of great reference value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:517004
    • 提供者:
  1. Verilog-HDL

    1下载:
  2. 本课程设计在EDA开发平台上利用Verilog HDL语言设计数控分频器电路,利用数控分频的原理设计乐曲硬件演奏电路,并定制LPM-ROM存储音乐数据,-This course is designed to take advantage of the EDA Verilog HDL language development platform NC divider circuit design, the use of CNC dividing principles music playing ha
  3. 所属分类:ELanguage

    • 发布日期:2017-05-07
    • 文件大小:1049789
    • 提供者:李永科
  1. verilog

    0下载:
  2. bresenham算法是计算机图形学中为了“显示器(屏幕或打印机)系由像素构成”的这个特性而设计出来的算法,使得在求直线各点的过程中全部以整数来运算,因而大幅度提升计算速度。-Bresenham algorithm is computer graphics for display (screen or printer) is made up of pixels and the characteristics of the designed algorithm, all made in the
  3. 所属分类:Special Effects

    • 发布日期:2017-04-27
    • 文件大小:26462
    • 提供者:小财不出
  1. gpio

    0下载:
  2. 芯片设计中用于gpio传输接口之间的verilog设计,其中涉及到gpio的传输格式的所有源代码的设计-Chip design for verilog design gpio transmission interface between gpio involving transmission format all source code design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:13127
    • 提供者:xionglin
  1. Verilog

    0下载:
  2. 这是 夏宇闻Verilog数字系统设计教程中部分例程代码,适合初学Verilog的人-This is Xia Yu smell Verilog digital system design tutorial part of the routine code, suitable for beginners of Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:664243
    • 提供者:gongyoumin
  1. 135-classic-Verilog-design-example

    0下载:
  2. Verilog的135个经典设计实例,移位寄存器,串并转换,交通灯控制等-135 classic Verilog design example, the shift register, string and conversion, traffic light control, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-06
    • 文件大小:115712
    • 提供者:王美玲
  1. Verilog

    0下载:
  2. verilog数字系统设计教程,VHDL语法功能设计-verilog digital system design tutorials, VHDL syntax functional design
  3. 所属分类:software engineering

    • 发布日期:2017-05-23
    • 文件大小:7141206
    • 提供者:王五
  1. Frequency-divider

    0下载:
  2. 利用Verilog设计的在停车场情况下的模拟的分频器和计数器的代码-The use of Verilog design in the parking lot in case of analog frequency divider and counter code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:10221
    • 提供者:陆晓忆
  1. Timing-

    1下载:
  2. 利用verilog设计的停车场中的计数器计时器和计费器,完成智能管理效果-Use the counter timer and meter parking lot in the Verilog design, intelligent management
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:4634
    • 提供者:陆晓忆
  1. verilog-juanjima

    1下载:
  2. 卷积码是一种重要的前向纠错信道编码方式,其纠错性能常常优于分组码,且(2,1,7)卷积码已应用于现代卫星通信系统中。Viterbi译码算法能最大限度地发挥卷积码的优异性能。这里采用Verilog  HDL语言设计出(2,1,7)卷积码的编码器模块和基于Viterbi算法的译码器模块,译码器采用全并行结构,译码速度快-Convolutional code is an important forward error correction channel coding method, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:10240
    • 提供者:邓博于、
  1. USB-IPcore-Verilog

    2下载:
  2. USB IP 核设计,Verilog,ISE工程可以打开-USB IP core design, Verilog, ISE project can be opened
  3. 所属分类:USB develop

    • 发布日期:2017-05-20
    • 文件大小:5345319
    • 提供者:赵海峰
  1. verilog

    0下载:
  2. Verilogd的设计练习进阶书籍,可帮助开发人员熟练掌握编程 -Verilogd advanced design practice books, can help developers familiar with programming
  3. 所属分类:Communication

    • 发布日期:2017-04-29
    • 文件大小:271747
    • 提供者:李民浩
  1. VGA全驱动

    0下载:
  2. 里面有关于FPGA设计的VGA的相应实验说明,以及相关代码
  3. 所属分类:编程文档

  1. verilog

    0下载:
  2. 本文档设计了1光栅位移传感器信号的接收、光栅位移传感器信号的整形及电平转换电路设计,用Verilog HDL描述了锁相倍频细分和零位信号处理电路。利用FPGA实现光栅位移系统与上位机接口的电路原理框图-This document designed a grating displacement sensor signal reception, grating displacement sensor signal shaping and level conversion circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:108298
    • 提供者:吴汉
  1. Verilog-DATAS-xiayuwen

    0下载:
  2. 3.1 引言 3.2 Verilog HDL基本结构 3.3 数据类型及常量、变量 3.4 运算符及表达式 3.5 语句 3.6 赋值语句和块语句 3.7 条件语句3.8 循环语句 3.9 结构说明语句 3.10 编译预处理语句 3.11 语句的顺序执行与并行执行 3.12 不同抽象级别的Verilog HDL模型 3.13 设计技巧-3.1 Introduction 3.2 Verilog HDL basic structure 3.3
  3. 所属分类:File Formats

    • 发布日期:2017-05-07
    • 文件大小:1341370
    • 提供者:fanzzu
  1. Verilog--GUIDE

    0下载:
  2. 本指南的很多信息都围绕Verilog 的句法组织但也有另外一些有关编码标准设计流程错误保留字以及在正文按字母顺序参考部分后面的编译器伪指令系统任务和函数以及命令行选项等特殊的部分-Much of the information in this guide revolves around Verilog s syntactic organization, but there are also other coding standards that are designed to keep the
  3. 所属分类:Document

    • 发布日期:2017-05-04
    • 文件大小:468762
    • 提供者:fanzzu
« 1 2 ... 30 31 32 33 34 3536 37 38 39 40 ... 50 »
搜珍网 www.dssz.com