CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - version file system

搜索资源列表

  1. NiuXcms_v1.0.5

    0下载:
  2. 牛叉内容管理系统(NiuXcms) ,一款运行于PHP+MySQL平台的CMS系统1、确认您的PHP版本5.20以上、Mysql版本5.0以上、已上传完整的程序文件!!!   2、确认已设置正确程序文件的读写权限!!!   (Unix/Linux等非Windows操作系统主机使用FTP软件登录您的服务器,将本目录、以及该目录下面的所有目录、文件的属性设置为0755或0777。Windows操作系统主机一般无需修改。如需修改请设置internet来宾帐户可读写属性。   3、确认
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-05-12
    • 文件大小:3024430
    • 提供者:fpudn61
  1. 3GCMS_PHP

    0下载:
  2. 3gcms是一个免费开源的,快速、简单的面向智能手机等移动终端的网站CMS系统,自动生成APK手机安装包,并提供终端消息推送,手机版后台管理等功能。考虑到ASP的局限性。我们开发了PHP版本的3GCMS。使用时请手动启动安装说明:上传到网站后请先导入Data目录3gcms_php.sql文件,然后修改配置文件Public\Config\config.ini.php中的数据库连接信息,然后http://安装目录/admin.php进入后台管理,用户名密码:admin admin-3gcms is
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-05-15
    • 文件大小:3738624
    • 提供者:fpudn70
  1. ltp_new

    0下载:
  2. 使用哈工大信息检索实验室LTP系统进行分词,词性标注,命名实体识别,依存句法分析,语义角色标注 同时是最新版API使用情况,JAVA版本,并且生成XML文件-Retrieval Laboratory LTP system segmentation, the use of Harbin Institute of technology information of part of speech tagging, named entity recognition, dependency parsi
  3. 所属分类:Java Develop

    • 发布日期:2017-04-06
    • 文件大小:27333
    • 提供者:李静静
  1. gundongjindutiao

    0下载:
  2. 这种滚动模式的进度条在XP系统中很常见 比如XP开机画面、搜索文件、清理缓存……都见到这样的进度条 貌似论坛上出现得不多 当然,这种风格只能在XP及以上版本上使用 Win98,2000不支持 我在Delphi5上编译通过 工程中需加入一个manifest资源文件 资源文件的建立与加入方法详见MyProgressBar.dpr工程文件 如果在Delphi7上就省事多了,不需要manifest资源文件 直接放一个XPManifest控件就可以了
  3. 所属分类:Dialog_Window

    • 发布日期:2017-04-24
    • 文件大小:145821
    • 提供者:gmj
  1. MINIX3CODEPfile(book-CD)

    0下载:
  2. minix3的源码 在内包含了: BOOKSRC.TGZ----------是mini3的源代码 ------drivers ------include ------kernel ------servers ------tools PRESZ134.ZIP-------minix3的简介(英文版) BOOTFLOP.IMG--------安装镜像 LISTING.PDF---------minix3的主要代码文件 SETUP.PDF-----
  3. 所属分类:OS Develop

    • 发布日期:2017-05-28
    • 文件大小:10914580
    • 提供者:Ricezhang
  1. Drv

    0下载:
  2. 可再发行的文件 可再发行文件中提供的目录(winio32.dll \的二进制文件,winio32.sys和winio64。DLL),应包括在您的应用程序的安装包。该winio64.sys文件必须与一个在公共代码签名证书签名的版本取代(见下文)。 的winio文件必须放在同一目录作为您的应用程序的可执行文件。 特权的要求 winio需要管理员权限运行正常。这可以通过: 使用从服务以本地系统运行的WinIo(se_load_driver_name特权
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-01
    • 文件大小:4203
    • 提供者:alex
  1. VB6FileRel

    0下载:
  2. VB6精简版短小精湛、功能强大,对于常见的程序开发任务用精简版就可以解决。但是VB6精简版安装后没有关联相关VB文件和图标,比如工程文件.vbp、窗体文件.frm等,添加这些文件时需要从VB6程序的对话框中添加,给使用者造成不必要的麻烦(虽然使用Windows系统打开方式打开了VB的文件,但图标也是统一的,不便于管理VB文件)。本人编写的这个工具完全解决了这个问题,一键关联VB6的各类文件和图标,非常方便!现在将这个小程序的源码附送给大家。 程序是用VB6精简版编写的,呵呵。。希望能帮到
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-02
    • 文件大小:160950
    • 提供者:吴天
  1. initial

    0下载:
  2. 中科院分词系统,C++版,简单调用接口实现分词示例。(只需更改主函数中目标文件名即可)-CAS segmentation system, C++ version, simply call the interface segmentation examples. (Just change the primary function of the target file name)
  3. 所属分类:MultiLanguage

    • 发布日期:2017-05-22
    • 文件大小:6356464
    • 提供者:ci
  1. Delphi_627087

    0下载:
  2. Delphi版电话录音系统由明日科技提供,源代码可编译,本录音系统利用语音卡设备与电话机相连接,并将通话过程记录在指定的录音文件中。该系统还提供对录音文件信息的查询与查听。 -Delphi version of the telephone recording system provided by the technology of tomorrow, the source code can be compiled, the audio system using voice card equipm
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-16
    • 文件大小:3628757
    • 提供者:ZGSNQMH
  1. linghuprocess

    1下载:
  2. 灵狐Delphi版windows进程管理器,较系统本身的任务管理器功能更强劲,除了进程的显示和管理功能外,CPU和内存性能占用显示当然不可少了。除此之外还有启动项管理、注册表优化管理、文件及文件夹管理、进程列表快照查看、重启电脑和关闭系统等功能,在此基础上,你可以制作一个windows系统管理软件了。-Ling fox Delphi version of the windows process management, task management function is the system
  3. 所属分类:Delphi VCL

    • 发布日期:2017-05-02
    • 文件大小:537309
    • 提供者:lpudn19
  1. MB-MARK-V11

    0下载:
  2. 麦布网摘系统ASP版专门为个人站长制作的一个网摘程序,前台主要是分类显示功能,后台有手工添加、修改、删除以及分类的管理,功能比较简单,非常适合二次开发。   【使用说明】   ·根目录下Aws.exe文件为本地调试程序,无须上传服务器。   ·数据库所在的文件夹为/data/,文件名称为mybuorg.mdb   ·修改数据库名称需要同时修改inc文件夹内的conn.asp、conn2.asp中的数据库地址。   麦布网摘系统后台:/admin   登录帐号:
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-04-24
    • 文件大小:506662
    • 提供者:lpudn27
  1. ma001

    0下载:
  2. *** *** *** *** *** *** *** *** *** *** *** ***** * 标题: TOPav-2008单片机开发系统演示程序 * * 硬件: TOPav-2008 * * 文件: MA001.asm * * 日期: 2007-1-5 * * 版本: 1.0 * * 作者: 单片机音响技术网 - zhangshaobin * * 邮箱: shaobinz@163.com * * 网站: http://www.mcu51a
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:734
    • 提供者:baybob
  1. quhao-search

    0下载:
  2. 无刷新区号查询系统jQuery版,最好用的区号查询系统,js写成,都服务器要求低,可输入省份、城市、区号、邮编进行搜索。只要能运行html就可以使用本系统了!数据存储在qh.js文件中。-No refresh code query system jQuery version, code query system is best used, js languages, are low server requirements, you can enter the provinces, cities,
  3. 所属分类:WEB(ASP,PHP,...)

    • 发布日期:2017-04-28
    • 文件大小:51508
    • 提供者:mpudn58
  1. EhLib.6.3

    0下载:
  2. 解压后,有一个Installer文件夹,里面有EhLibInstaller.exe,双击后,选择你当前使用的DELPHI版本,然后点击nstall按钮,DELPHI7测试通过 安装结束后,可以在安装目录下面的X:\Borland\Delphi7\Components\EhLib查看适合你版本的文件 进入DELPHI在面板栏多出一个EhLib,说明安装成完成了。 补充说明: 1、WINDOWS7/WINDOWS8必须以管理员身份运行EhLibInstaller.exe 2、64位系统安装后启动DE
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-05-26
    • 文件大小:8961815
    • 提供者:shen
  1. avrc

    0下载:
  2. 单片机C语言程序设计实训100例 基于AVR+PROTEUS仿真 AVR与PROTEUS的完美结合 适合新手 请安装高版本的PROTEUS 否则可能导制文件打不开 -Microcontroller C Programming Language Training 100 cases- AVR with PROTEUS simulation based AVR+PROTEUS perfect combination suitable for beginners please install
  3. 所属分类:SCM

    • 发布日期:2017-06-12
    • 文件大小:19413246
    • 提供者:叶晞
  1. CPPSource_code_FreeEIM

    1下载:
  2. VC版飞鸽传书源代码!p2p软件的经典,局域网文件传输的王者!适合于P2P的文件共享系统的初学者!-VC version of IP Messenger source code! Classic p2p software, LAN file transfer king! Suitable for P2P file-sharing system for beginners!
  3. 所属分类:ICQ-IM-Chat

    • 发布日期:2014-12-24
    • 文件大小:1488896
    • 提供者:祝俊琪
  1. sys_msp430---v3.1

    0下载:
  2. 自己写的一个简单的任务管理系统 , 基于MSP430F149芯片,并有相应的应用程序. 相对V2.1,该版本修改了一些文件名称, 并进行了一些优化-Himself wrote a simple task management system, based on MSP430F149 chip, and a corresponding application. Relative V2.1, the modified version of some file names, and some o
  3. 所属分类:SCM

    • 发布日期:2017-05-16
    • 文件大小:3941540
    • 提供者:sony19900107
  1. VisualAssist-10.9.2052

    1下载:
  2. Visual Assist V10.9.2052 最新版 2014.11.05 原版安装文件及破解dll文件 压缩包内包含VisualAssist10.9.2052原版安装文件和破解后的dll文件 使用方法: 1 执行VA_X_Setup2052.exe安装原版文件 (官方下载地址:http://www.wholetomato.com/downloads/default.asp) 2 在电脑内搜索VA_X.dll文件的位置 把下载到的
  3. 所属分类:Windows Develop

    • 发布日期:2017-06-14
    • 文件大小:23032832
    • 提供者:贾彦程
  1. hvdc-simulink

    3下载:
  2. 该文件是针对高等电力系统分析中电流控制的高压直流输电系统的模型,是研究生的大作业,用Matlab的较低版本方可打开。-This file is for high voltage DC transmission system control of current advanced analysis in power system model, is the graduate student major operations, with lower Matlab version can be ope
  3. 所属分类:matlab

    • 发布日期:2017-04-28
    • 文件大小:145951
    • 提供者:
  1. Zhicm_v6.6.0

    0下载:
  2. 智睿企业视频版网站系统具有强大的系统功能,支持中繁任意切换,拥有文章/新闻、图片/产品、资源下载、人才招聘、订单系统、问答/留言、友情链接、广告系统、自定义模型、等众多丰富的功能模型。 个人站长完全免费,代码全开放源码,用户可在官网下载,免费使用,免费升级,而不需要支付任何费用,请仔细查看用户许可协议。 系统管理说明: 网址/admin/admin_login.asp 帐号:admin 管理密码:123456 认证码:zhirui 配置文件/include/config.a
  3. 所属分类:Linux Network

    • 发布日期:2017-05-11
    • 文件大小:2282916
    • 提供者:HanHn
« 1 2 ... 20 21 22 23 24 2526 27 28 29 30 31 32 »
搜珍网 www.dssz.com