CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl编程

搜索资源列表

  1. fifo_ram

    1下载:
  2. 同步fifo, 基于FPGA的VHDL编程,已调试。-fifo-ram
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:540
    • 提供者:曾馨月
  1. RS_255_223_ENCODER

    0下载:
  2. 实现RS(255,223)编码,采用Verilog编程-Implementation RS (255,223) coding, using Verilog Programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:105666
    • 提供者:inves
  1. VHDL

    0下载:
  2. VHDL硬件语言教程,用于FPGA等的硬件编程语言-VHDL language using in FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:3538500
    • 提供者:qiuchengxu
  1. 20080108103305384

    0下载:
  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple ei
  3. 所属分类:Compiler program

    • 发布日期:2017-04-02
    • 文件大小:50368
    • 提供者:123
  1. freqtest

    0下载:
  2. 对复杂大规模可编程器件的特点,提出了一种新的数字频率计的实现方法。在QutusⅡ开发软件环境下,采用硬件编程语言VHDL,实现了数字频率计的设计。经过仿真,并下载验证。能够实现测频功能。-The complex features of large-scale programmable devices, a new realization method of digital frequency meter. In Qutus Ⅱ software development environment,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:193370
    • 提供者:依然
  1. hainan

    0下载:
  2. MAX+PLUS2环境下VHDL彩灯控制器编程 1.有十只LED,L0……L9 2.显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调-MAX+ PLUS2 programming environment, VHDL lantern controller 1. With 10 LED, L0 ... ... L9 2. Display odd lights turn off before ① ② ③ again
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1100
    • 提供者:吴海霞
  1. 100503

    0下载:
  2. FPGA有价值的27个编程例子。包括LED控制,LCD控制,ASK调制与解调,DAC0832接口电路程序-27 example about FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1278533
    • 提供者:allen cen
  1. qiangdaqi

    0下载:
  2. 抢答器,用vhdl语言编程,在fpga平台上实现。-Responder, with the vhdl language programming, in fpga platform to achieve.
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:35408
    • 提供者:小哇
  1. CPLD

    0下载:
  2. 关于对CPLD的VHDL编程,通过几个例子可达到对软件熟悉的目的,对于进一步学习很有帮助,建议初学者看一下-VHDL on the CPLD' s programming can be achieved through several examples are familiar with the purpose of the software, very helpful for further study is recommended for beginners to look at
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-12
    • 文件大小:2754248
    • 提供者:李洪森
  1. _8259A

    1下载:
  2.   8259A是专门为了对8085A和8086/8088进行中断控制而设计的芯片,它是可以用程序控制的中断控制器。单个的8259A能管理8级向量优先级中断。在不增加其他电路的情况下,最多可以级联成64级的向量优先级中断系统。8259A有多种工作方式,能用于各种系统。各种工作方式的设定是在初始化时通过软件进行的。 在总线控制器的控制下,8259A芯片可以处于编程状态和操作状态.编程状态是CPU使用IN或OUT指令对8259A芯片进行初始化编程的状态- 8259A is designed t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:764839
    • 提供者:keven
  1. dds_quicklogic

    0下载:
  2. 关于信号发生器的VHDL编程,很好的程序,可供大家参考学习,-VHDL programming on the signal generator, a good program, for your reference study, huh, huh
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:67793
    • 提供者:chen xiaoxia
  1. viterbidecoder

    0下载:
  2. 移动通信系统中维特比译码器的硬件实现!j基于FPGA的有关编程代码-viterbi
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:128667
    • 提供者:徐军
  1. timer

    0下载:
  2. 外设timer设计:16bit定时器、ETU计数器、具有3种可配置中断请求输出、内部寄存器的读写编程。-Peripheral timer design: 16bit timer, ETU counter, with 3 configurable interrupt request output, the internal register read and write programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4729
    • 提供者:gab
  1. DDS

    0下载:
  2. 基于FPGA的DDS的相位累加器详细介绍,是VHDL编程,利用quartus2平台.-Design of Direct digital synthesis Signal Generator
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:381304
    • 提供者:高慧
  1. blessing

    0下载:
  2. VHDL编程乒乓球游戏含有仿真部分及波形-VHDL language of table tennis game
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:585653
    • 提供者:张宗艳
  1. LCD12864

    0下载:
  2. 利用FPGA编程实现在LCD上显示汉字,非常实用的教程,里面有详细的代码说明,修改后即可实现你的需求。-Using FPGA Programming in LCD display Chinese characters, a very useful tutorial, which has a detailed code instructions can be modified to meet your needs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:338405
    • 提供者:赵琳
  1. uart

    0下载:
  2. 用VHDL编程,在FPGA上实现串口的控制!希望一切分享一下!-Using VHDL programming, the FPGA, Serial control! Hope that all share!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2665557
    • 提供者:ncf
  1. sodamachine

    0下载:
  2. 刚做完的一个实验,传上来分享一下 写的一般,请见谅 原题是麻省理工的一道EDA设计题:设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱 数。 (1)用到有限状态机;(2)用VHDL编程 -Just finished an experiment, transfer up to share writing in general, please forgive the original question is a Massachusetts Institute of T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:232443
    • 提供者:Han
  1. RS3123

    0下载:
  2. Reed- So lomon (RS) 码是一种重要的纠错码, 它对随机性和突发性错误有极强的纠错能力, 广泛应用于 数字视频广播(DVB) 系统和其它数字通信领域。给出了一种GF (25) 域上的RS (31, 23) 编码器的实现算法, 介绍 了用现场可编程门阵列(FPGA ) 实现RS 编码器的原理和过程, 并给出了实现电路及其仿真的输出波形。-Reed-So lomon (RS) code is an important error-correcting code, its ra
  3. 所属分类:Communication

    • 发布日期:2016-03-31
    • 文件大小:360448
    • 提供者:王彬
  1. fft

    0下载:
  2. 用FPGA编程实现fft算法,在maxplus2环境下实现,好用-Fft algorithm with FPGA programming, in maxplus2 environment to achieve, easy to use! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2289
    • 提供者:
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 ... 41 »
搜珍网 www.dssz.com