CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl编程

搜索资源列表

  1. Quartus-II

    0下载:
  2. Quartus II的使用教程包括Quartus II的软件教程,VHDL语言的编程方法,实际工程项目等。-Quartus II tutorial covers the use of Quartus II software tutorials, VHDL programming language, the actual engineering projects.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:18156544
    • 提供者:董胜
  1. barkcode

    0下载:
  2. bark码发生器 FPGA vhdl语言编程-bark FPGA vhdl programming code generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:385194
    • 提供者:李宁
  1. dd

    0下载:
  2. 该文件是一个VHDL的编程,实现的功能也是比较简单-The file is a VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:243988
    • 提供者:苏胜东
  1. FPGA

    0下载:
  2. 基于FPGA的正弦信号发生器,该程序是由VHDL语言编程而成。-FPGA-based sinusoidal signal generator, the program is made by the VHDL programming language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:401287
    • 提供者:曾明
  1. FIFO

    0下载:
  2. 用VHDL语言编程实现的FIFO的设计,可用于数据的寄存和缓冲,libero仿真通过-Programming language using the FIFO VHDL design can be used for data storage and buffering, libero simulation by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1094
    • 提供者:funny
  1. UART

    0下载:
  2. 用VHDL语言编程实现UART,8位数据位,校验位自己可以加!LIBERO仿真正确!-VHDL language programming with UART, 8 data bits, parity bit that they can add! LIBERO simulation correctly!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:14597
    • 提供者:funny
  1. TAXI

    0下载:
  2. 基于VHDL的出租车计费器,通过VHDL语言来编程实现计费系统的四个功能块:分频模块,控制模块,计量模块和译码显示模块,最后使用MAX+PLUSII软件来对程序进行仿真,以模拟实现出租车的启动,停止以及等待等过程中的计时,计程和计费功能。-Taxi meter based on VHDL, VHDL language programming through the billing system of the four functional blocks: frequency module, co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:949
    • 提供者:张鹏飞
  1. EDA-V

    0下载:
  2. eda实验是基于vhdl语言的程序设计与运行的一类实验,由实际生活中的例子编程。-vhdl eda is based on the language test program design and operation of a quasi-experimental, real life examples from the program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5966848
    • 提供者:张静
  1. singt

    0下载:
  2. 在EP2C35上用VHDL语言编程实现的正弦波形发生器-VHDL language used in the EP2C35 programming on the sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1623373
    • 提供者:sxy
  1. Serial_ck

    0下载:
  2. 特定序列检测器,VHDL语言实现,采用状态机的编程思想,同时程序中的被检测序列可以稍微修改以满足自己的需要-Specific sequence detection, VHDL language, the use of state machine programming ideas, and the program sequence can be detected in the slightly modified to meet their own needs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:239990
    • 提供者:张松松
  1. EPM7032

    2下载:
  2. 本文介绍一种用Altera公司的可编程逻辑器件EPM7032,在MAX+PlusⅡ开发环境下采用VHDL语言以及ByteBlaster在线可编程技术来实现自动交通控制系统的方法。该设计中采用的自顶向下的设计方法同样适用于复杂数字系统的设计。 -VHDL语言以及ByteBlaster在线可编程技术来实现自动交通控制系统的方法。该设计中采用的自顶向下的设计方法同样适用于复杂数字系统的设计。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:78736
    • 提供者:望先生
  1. frequent

    1下载:
  2. 这是一个基于复杂可编程逻辑器件CPLD的VHDL语言的有关频率源代码-This is a complex programmable logic device CPLD based on the VHDL language source code related to the frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:31596
    • 提供者:李朝
  1. FPGA_SOPC

    0下载:
  2. 主要介绍VHDL快速入门和编程技巧,特别适用于初学者-Introduces VHDL Quick Start and programming skills, especially for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1512291
    • 提供者:汤胜林
  1. digital-storage-oscilloscope

    0下载:
  2. 本题设计一个数字存储示波器,以Xilinx公司20万门FPGA芯片为核心,辅以必要的外围电路(包括信号调理、采样保持、内部触发、A/D转换、D/A转换和I/O模块),利用VHDL语言编程,实现了任意波形-The problem to design a digital storage oscilloscope, to Xilinx, Inc. 200,000 FPGA chip as the core, supplemented by the necessary peripherals (incl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:14371
    • 提供者:Jasen
  1. FPGA-based-design-vending-machines

    0下载:
  2. 本系统采用了Altera的开发软件的Quarts II。该软件平台进行数字电路设计和仿真的方法;阐述了VHDL(Very High Speed Integrated Circuit Hardware Descr iption Language)语言的一些特点及语法结构;介绍了自动售货机系统的基本原理、系统组成和主要功能;并分析讨论了用VHDL语言开发自动售货机系统的设计流程。本设计利用Altera公司的开发软件Quartus II平台,采用VHDL硬件描述语言编程的设计方法设计系统核心电路的硬件
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:217741
    • 提供者:
  1. shuzidianyabiao

    1下载:
  2. 系统基于EDA技术的智能数字电压表实现,以现场可编程门阵列(FPGA)为设计核心,集成于一片Xilinx公司的SpartanⅡE系列XC2S100E-6PQ208芯片上,在ISE环境下采用超高速硬件描述语言(VHDL)模块化编程,实现了电压的数据采集、转换、处理、显示等功能。本设计的特点在于能够测量的电压范围宽(0~50VDC),主要采用了分压原理,该系统具有集成度高、灵活性强、易于开发和维护等特点。-System based on EDA technology of intelligent d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-04
    • 文件大小:15360
    • 提供者:zhao
  1. VHDLprogramming-language

    0下载:
  2. 阐述了VHDL硬件描述语言的语法结构,编程方法,适合初学者入门学习-VHDL hardware descr iption language describes the syntax of the structure, programming method, suitable for beginners to start learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:853128
    • 提供者:xxj
  1. send

    0下载:
  2. 采用vhdl语言编程,实现异步串行通信的发送自己定义的通信协议格式-Using vhdl language programming, asynchronous serial communication to send their own communication protocol format definition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1056
    • 提供者:yangyang
  1. FPGA

    0下载:
  2. 很好的VHDL程序段,可以帮助迅速了解和提高编程水平-Good VHDL program segment can help to quickly understand and improve the level of programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:14829
    • 提供者:ldl
  1. PoE_2temac_TOP

    0下载:
  2. 基于xilinx公司生产的FPGA可编程逻辑芯片的千兆网卡的设计代码。-1000Mhz Ethernet TEMAC xilinx fpga vhdl RTL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1471
    • 提供者:fan.r
« 1 2 ... 28 29 30 31 32 3334 35 36 37 38 ... 41 »
搜珍网 www.dssz.com