CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 数码管显示

搜索资源列表

  1. 7段数码显示vhdl程序

    0下载:
  2. vhdl语言描述7段数码管显示程序
  3. 所属分类:其它

  1. 电子钟VHDL

    1下载:
  2. 这是一个电子钟的VHDL源程序、包括分频、秒计时、分计时、时计时、数码管显示、较时等部分
  3. 所属分类:其它

    • 发布日期:2009-09-09
    • 文件大小:7261
    • 提供者:huabiao360
  1. 7位二进制计数器

    0下载:
  2. 应用VHDL语言编写设计一个带计数使能、异步复位、同步装载的可逆七位二进制计数器,计数结果由共阴极七段数码管显示
  3. 所属分类:VHDL编程

  1. VHDL串口通信(Qusrtus)

    0下载:
  2. Qusrtus工程 EP2C8Q208CN.串口通信,按键显示终端Welcome,串口控制数码管
  3. 所属分类:源码下载

    • 发布日期:2011-07-24
    • 文件大小:475865
    • 提供者:kerwin666
  1. pinlvji 频率计VHDL编程

    0下载:
  2. 频率计VHDL编程。设计一个4位数字显示的十进制频率计,其测量范围为1MHz,测量值通过4个数码管显示以8421BCD码形式输出,可通过开关实现量程控制,量程分10kHz、100kHz、1MHz三档(最大读数分别为9.999kHz、99.99kHz、999.9kHz); 当输入信号的频率大于相应量程时,有溢出显示。 -Cymometer VHDL programming. Design of a 4-digit decimal display frequency, the measu
  3. 所属分类:VHDL编程

    • 发布日期:2012-01-11
    • 文件大小:90168
    • 提供者:testsb
  1. lock.zip.zip

    0下载:
  2. 基于VHDL电子密码锁设计,数码管显示,比较有用的毕业设计,大家可以参考一下,VHDL-based design of electronic locks, digital display, more useful for the design of the graduation, we can refer to
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:156013
    • 提供者:孙晓林
  1. datashow

    0下载:
  2. 本程序是一个用VHDL编写的数码管扫描显示控制器的设计与实现的程序,仅供学习。-This procedure is a VHDL prepared using digital tube scanning display controller design and implementation of procedures for learning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:107766
    • 提供者:lesslie
  1. lift

    0下载:
  2. 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 -Design a controller, eight-story buildings, escalators, moving elevator with eight LED display process, and a digital display where the floor lift the current loc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:77165
    • 提供者:zhaorongjian
  1. traffic-VHDL

    0下载:
  2. 最简便的交通灯控制源代码 适合VHDL初学者 十字路口红绿灯控制,数码管显示-The most simple traffic lights to control the source code for VHDL beginners crossroads traffic lights control, digital display
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:1733
    • 提供者:何柯东
  1. VHDL

    0下载:
  2. 数码管显示,温度传感,红外感应,流水灯蜂鸣器,PS2,RS232的相关VHDL程序,已经在MAX-IIEPM570开发板上测试成功-Digital display, temperature sensor, infrared sensor, water lights buzzer, PS2, RS232 relevant VHDL procedures have been developed at MAX-IIEPM570 the success of on-board test
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:8861
    • 提供者:刘运学
  1. myled4

    0下载:
  2. 四位动态数码管显示数字时钟的分位和秒位。工具:Quartus ii 6.0 语言:VHDL-4 shows the number of dynamic digital tube digital clock and seconds bit. Tools: Quartus ii 6.0 Language: VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:191651
    • 提供者:杨晴飞
  1. test

    0下载:
  2. 将拨码开关全部拨到on,将会看到数码管从 0-9 A-F逐个显示;按下8个按键中的任何一个,对应的LED灯会亮,按第一个按键时,蜂鸣器会响。-All appropriated for the DIP switch on, will see the digital tube display one by one from the 0-9 AF press 8 keys in any one, the corresponding LED lantern light, according to th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:141722
    • 提供者:panda
  1. test

    0下载:
  2. 将拨码开关全部拨到on,将会看到数码管从0-9 A-F逐个显示;按下8个按键中的任何一个,对应的LED灯会亮,按第一个按键时,蜂鸣器会响。-All appropriated for the DIP switch on, will see the digital tube display one by one from the 0-9 AF press 8 keys in any one, the corresponding LED lantern light, according to the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:132669
    • 提供者:panda
  1. xq_Test7

    0下载:
  2. VHDL语言编写一个BCD计数器并在七段显示数码管上显示的程序,实现了动态扫描,而且很好用-VHDL language a BCD counter and in the seven-segment display digital tube display process to achieve a dynamic scanning, and it just works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:144040
    • 提供者:夏强
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. VHDL-3BCD

    0下载:
  2. 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55898
    • 提供者:will li
  1. 简单智能车VHDL实现

    0下载:
  2. FPGA实现智能车利用红外传感器使小车沿预定轨道平稳行驶,数码管显示障碍物与小车的距离,距离低于某值则停止运行
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-28
    • 文件大小:1015851
    • 提供者:361yjs
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
  1. 八位数码管的动态显示VHDL程序

    0下载:
  2. 7段数码管动态显示程序,高频动态显示多位数(7 segment digital tube dynamic display program)
  3. 所属分类:其他

  1. VHDL模块

    1下载:
  2. 直接用模块就行了,加入到quartus里面即可(just use these modularities,then add these into your quartus)
  3. 所属分类:汇编语言

    • 发布日期:2020-11-22
    • 文件大小:8192
    • 提供者:奥斯卡金奖
« 1 23 4 5 6 7 8 9 10 ... 23 »
搜珍网 www.dssz.com