CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 数码管显示

搜索资源列表

  1. seg

    0下载:
  2. 用VHDL编写的数码管显示程序(数码管共用数据线),带有进制转换功能-Written in VHDL, digital tube display program (digital control shared data line), with a binary conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:714825
    • 提供者:jizhen
  1. DZZ1

    0下载:
  2. 多功能数字钟 能进行正常的时、分、秒计时功能, 分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。   2. 能利用实验系统上的按键实现“校时”“校分”功能: 3. 能利用扬声器做整点报时-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:1024085
    • 提供者:chenshilin
  1. clock

    0下载:
  2. 基于vhdl的数字钟,分别由6个数码管显示24小时、60分钟、60秒的计数显示;设有校时、校分、秒清零校正功能,分别由3个按键控制;验证可用。-On vhdl digital clock, respectively, by 6 digital tube display 24 hours, 60 minutes, 60 seconds of the count display with school hours, school hours, seconds, cleared correction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:267348
    • 提供者:ly
  1. display

    0下载:
  2. 一个用VHDL语言编写的七段数码管显示程序,后续还有分频器、数据选择器、计数器程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:234240
    • 提供者:QQ
  1. zhuangtaijijiaotongdeng

    0下载:
  2. 利用VHDL设计的另外一种方法的交通灯程序。在controller模块中设置了2个进程,其中一个用来控制内置计数器的增加,一个用来控制交通灯的显示。从controller模块中出来的时间是2位的BCD码,要进行数码管显示就需要得到2个一位的BCD码,因此就需要利用separate模块来实现。separate模块的思想也比较简单,就是利用比较然后得到相应的十位数和个位数。-In the controller module set up two processes, one of which is
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:13439
    • 提供者:
  1. FREQTEST.tar

    0下载:
  2. VHDL写的16进制显示数字频率计,用8位数码管显示-16 hexadecimal display digital frequency meter VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:368019
    • 提供者:吴涛
  1. seven_lcd

    0下载:
  2. 七段数码管显示的时钟程序VHDL代码 ISE编译环境-SEVEN seg VHDL ISE CLOCK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:594032
    • 提供者:gaoshang
  1. Design_of_multi-functional_sports_stopwatch

    0下载:
  2. 设计一个可以顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。用VHDL语言-Designed to be a cis-timing and countdown stopwatch. Required time ranges from 00.0S ~ 99.9S, with three digital tube display.
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:234856
    • 提供者:林文
  1. test

    2下载:
  2. VHDL代码 键盘扫描,数码管静态移位显示,类似于计算器显示数据-VHDL code
  3. 所属分类:其他小程序

    • 发布日期:2014-03-28
    • 文件大小:68036
    • 提供者:王莹
  1. 4LED

    0下载:
  2. 基于VHDL语言,实现对4位数码管显示。-Based on the VHDL language, to realize four digital tube display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2968
    • 提供者:xiaokun
  1. snag

    0下载:
  2. 4人抢答器的VHDL源代码.当设计文件加载到目标器件后,按下核心板复位按键,表示开始抢答。然后,同时按下S1-S4,首先按下的键的键值被数码管显示出来,对应的LED灯被点亮。与此同时,其它按键失去抢答作用。-4 Responder of the VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:742
    • 提供者:王唐小菲
  1. example4

    0下载:
  2. 拨码开关对应数码管显示 几年以前用vhdl写的 -vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:30005
    • 提供者:李志
  1. digital_clock

    0下载:
  2. 用于FPGA可编程逻辑器件的VHDL语言编写的6显示数字钟程序。51单片机驱动6个LED数码管。-Digital clock (VHDL language) for FPGA Development
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:378790
    • 提供者:李哲
  1. bcdseg7

    0下载:
  2. bcd码的七段数码管显示vhdl程序 bcd码的七段数码管显示vhdl程序-bcd-yard seven-segment LED display vhdl program bcd-yard seven-segment LED display vhdl program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:254108
    • 提供者:deyi
  1. jtd2

    0下载:
  2. 基于VHDL状态机设计的智能交通控制灯 总体设计结构框图如图2所示,共有11个功能模块,包括控制东西方向交通灯的状态机和控制南北方向交通灯的状态机、计数器模块、键盘扫描模块、数字合成模块、三个分位模块、数码管显示模块、动态显示扫描模块。-VHDL-based state machine design of intelligent traffic control lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:457565
    • 提供者:周远航
  1. DigitalClock

    0下载:
  2. 基于FPGA的数字电子钟设计,系统总程序由分频模块、“时分秒”计数器模块、数据选择模块、报时模块、动态扫描显示和译码模块组成。得到一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外有校时、校分和整点报时功能,并通过数码管驱动电路显示计时结果。-FPGA-based design of digital electronic clock, the system program by the total frequency modul
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:63477
    • 提供者:sunnan
  1. led

    0下载:
  2. 七段LED数码显示器是数字系统中常用的数码显示元件,二进制数不能直接在LED数码管上显示,需要用一个BCD七段译码器进行译码。下图给出了一个七段显示译码器的框图及相应的七段LED数码管的示意图。-Seven-segment LED digital display is commonly used in digital systems digital display devices, a binary number can not be directly displayed on the LED
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:29440
    • 提供者:乐天猫
  1. PS2

    0下载:
  2. 用vhdl语言编写的ps2接口驱动程序,可扫描键盘键入的数据并显示在数码管上。-ps2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:493959
    • 提供者:王双
  1. RECEIVE_TEMP_A

    0下载:
  2. 温度采集和显示,DS18B20采集温度,数码管LED显示温度-Temperature acquisition and display, DS18B20 collecting temperature, digital tube LED display temperature
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:2563
    • 提供者:费利佩
  1. celiang

    1下载:
  2. 使用FPGA完成超声波测距的功能,并在数码管上显示距离值。-Completed using ultrasonic ranging FPGA features and digital tube display distance value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1580
    • 提供者:彭杨
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 23 »
搜珍网 www.dssz.com