CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 键盘

搜索资源列表

  1. juzhen_jainpan4_4

    0下载:
  2. 基于VHDL语言的矩阵键盘的源代码,,代码清晰,明了,时间哎那验证好使-Source code of the matrix keyboard based on VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:196153
    • 提供者:liu
  1. a-design-of-Matrix-keyboard

    0下载:
  2. 关于矩阵键盘的设计方法,四乘四,基于vhdl语言-vhdl Matrix keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:221805
    • 提供者:wxt
  1. KEYBOARD

    0下载:
  2. VHDL设计矩阵键盘输入 -VHDL KEYBOARD VHDL KEYBOARD VHDL KEYBOARD VHDL KEYBOARD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5109
    • 提供者:张鹏
  1. 4X4vhdl

    0下载:
  2. 4X4键盘检测功能基于VHDL言语,程序简单明了。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:721816
    • 提供者:张楼
  1. keyboard_test

    0下载:
  2. 4×4键盘扫描程序。用VHDL语言写的键盘扫描编码输出的程序。-4 x4 keyboard scanning procedures. Written in VHDL language keyboard scan code output program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:258183
    • 提供者:kai
  1. Cal2

    0下载:
  2. 课堂学习自制VHDL计算器小程序,使用4*4键盘,A加法,B乘法,C退格,E等于,F清零。-A program of Calculator made in VHDL course in school, using 4*4 keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:779363
    • 提供者:Wang
  1. key

    0下载:
  2. 应用FPGA中的vhdl语言编写进行键盘扫描程序-Keyboard scan application on VHDL keyboard scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:192194
    • 提供者:xiaoyu
  1. key_add

    0下载:
  2. 应用FPGA中VHDL语言编写键盘消抖程序-Application of VHDL language preparation FPGA keyboard away shaking program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:201932
    • 提供者:xiaoyu
  1. keyboardVhdl

    0下载:
  2. PS2键盘接口 ,vhdl语言,可移植模块-PS2 keyboard interface, the VHDL language, portable module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1278
    • 提供者:黄鹏
  1. ps2_keyboard_interface_latest.tar

    0下载:
  2. 基于VHDL的ps2协议的键盘接口实现.-Agreement based on VHDL ps2 keyboard interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:189085
    • 提供者:
  1. data_scanC-

    0下载:
  2. PS/2键盘通信控制电路的数据扫描电路VHDL程序-PS2keyboard VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:859
    • 提供者:章迁
  1. keyboard

    0下载:
  2. 基于VHDL的4*4矩阵键盘扫描,包括按键次数计数功能,已处理防抖、长按、双键-Count function based on VHDL-4* 4 matrix keyboard scanning, including the number of keys, and have been processed image stabilization, long, double bond
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-10
    • 文件大小:1639027
    • 提供者:Charles
  1. PS2-keyboard

    0下载:
  2. 基于fpga的ps2键盘代码,用vhdl编写-ps2 keyboard design based on fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2381170
    • 提供者:登入
  1. TPS2_IP_COREh

    0下载:
  2. 该IP核是一个ps2键盘的源代代码(vhdl语言)可直接使用。 -The the IP nuclear yes to the a ps2-keyboard of the source on behalf of code (vhdl language) can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:27083
    • 提供者:
  1. keyscan4X4

    0下载:
  2. FPGA矩阵键盘,VHDL编写,亲测可用-The FPGA matrix keyboard, VHDL prepared, pro-test available
  3. 所属分类:Finance-Stock software system

    • 发布日期:2017-04-14
    • 文件大小:3407
    • 提供者:chenlisheng
  1. vhdlkeyscan4X4

    0下载:
  2. FPGA矩阵键盘,VHDL编写,亲测可用-The FPGA matrix keyboard, VHDL prepared, pro-test available
  3. 所属分类:Finance-Stock software system

    • 发布日期:2017-04-07
    • 文件大小:3411
    • 提供者:chenlisheng
  1. Bssppartan3a

    0下载:
  2. 一种基于xilinx公司的FPGA开发板spartan3的一个用键盘控制制vga输出的vhdl源代码程序源码,能实现高清晰的视频输出. -Based xilinx company FPGA development board spartan3 of a keyboard control system vga output vhdl source code program source code, can achieve high-definition video output.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-13
    • 文件大小:4225
    • 提供者:对称
  1. ps2keyboard

    0下载:
  2. 基于vhdl的键盘内核程序,用nios 2编写,有助于nios内核的学习-Use nios vhdl keyboard kernel procedures written to help nios kernel learning
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:21903
    • 提供者:李晓阳
  1. MMattri_keeya

    0下载:
  2. 矩阵键盘的扫描的vhdl代码码,在实验板上调试过,供大家参考 -Matrix keyboard scanning the vhdl code code, debugging breadboard, for your reference
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-14
    • 文件大小:180600
    • 提供者:mmzz3211
  1. saomiao

    0下载:
  2. 用VHDL语言编写的行列式键盘扫描程序,已经调试可用,希望对用到键盘的同学有所帮助。-Determinant keyboard scanning procedures, already using VHDL language debugging can be helpful to students to use the keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:125376
    • 提供者:吴达
« 1 2 ... 8 9 10 11 12 1314 15 »
搜珍网 www.dssz.com