CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl gray

搜索资源列表

  1. vHDLgeleima

    0下载:
  2. 格雷码转自然码的VHDL实现,代码是我经过仿真以后可以用的。-natural Gray code to the VHDL code, the code is after I read the simulation can be used.
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:2723
    • 提供者:li
  1. VHDLCODE

    0下载:
  2. VHDL的一些典型源代码,有七段数码管译码器,格雷码转换为二进制码,八位数字比较器等等。-Typical VHDL source code, there are Seven-Segment LED Decoder, Gray code is converted to binary code, the eight figures and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:160598
    • 提供者:李军
  1. UserDefinedFunction

    0下载:
  2. It s a VHDL program. The program does a generic gray. Using a Cyclone II FPGA Board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:242863
    • 提供者:Ferdinando
  1. xs3togray

    0下载:
  2. vhdl code for excess3 to gray code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:221147
    • 提供者:jesna
  1. geleima--10

    1下载:
  2. 格雷码计数器 vhdL实现 quartus编译通过-Gray code counter VHDL quartus compiled by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:782971
    • 提供者:蒲瑞瑞
  1. counter

    0下载:
  2. vhdl语言做的4位可逆计数器和格雷码转换器,包括具体代码和仿真结果-vhdl language do four reversible counter and Gray code converter, including a specific code and simulation results
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:115419
    • 提供者:张瑞萌
  1. gray_binary_conv

    0下载:
  2. 用VHDL实现的格雷码,有格雷码计数器、格雷码转二进制、二进制转格雷码!-VHDL implementation of the Gray code, there is Gray code counter, Gray code to binary, Gray code Binary!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1003
    • 提供者:登入
  1. VHDL-8bitFIFO

    0下载:
  2. FIFO的宽度:也就是英文资料里常看到的THE WIDTH,它只的是FIFO一次读写操作的数据位,就像MCU有8位和16位,ARM 32位等等,本程序实现8位的FIFO功能,三位格雷码可表示8位的深度。-THE WIDTH of THE FIFO: namely information in English often see THE WIDTH, it is only a FIFO data read and write operations, as has 8 bit or 16 bit M
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1072
    • 提供者:刘伟
  1. encoder-based-on-Gray-code

    0下载:
  2. 基于VHDL格雷码编码器的设计,可以在试验箱上直接运行-Design of VHDL encoder based on Gray code, can be run directly in the chamber
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:64640
    • 提供者:漆广文
  1. Gray-code-encoder

    0下载:
  2. 1、 了解格雷码变换的原理。 2、 进一步熟悉QUARTUSII软件的使用方法和VHDL输入的全过程。 3、 进一步掌握实验系统的使用。 -Gray code encoder VHDL-based design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:101247
    • 提供者:漆广文
  1. Example2

    0下载:
  2. 基于 VHDL 格雷码编码器设计 格雷( Gray)码是一种可靠性编码,在数字系统中有着广泛的应用-Based VHDL design Gray Gray code encoder (Gray) code is a reliability of the encoder, it has been widely used in digital systems
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:2918
    • 提供者:贺泽伟
« 1 2»
搜珍网 www.dssz.com