CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 七段数码管显示

搜索资源列表

  1. decoder-realizing-of-FPGA

    0下载:
  2. 译码器的fpga相应的代码,还有仿真实现。在这里设计的七段数码管显示译码器是采用case语句来实现的。-Decoder fpga corresponding code, and simulation. In the design here seven segment digital pipe display the decoder is the case of the realization of the statements.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:248802
    • 提供者:明晓昕
  1. two_ten

    0下载:
  2. 完成二进制到十进制的转换,使用例化语句,包括二选一模块、比较模块、七段数码管显示译码模块。-Complete binary to decimal conversion, the use cases of the statement, including the two selected a module, modules, seven-segment display decoder module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:297731
    • 提供者:沈桑霞
  1. keyboard4_4-and-seg7

    0下载:
  2. 4*4键盘扫描程序,并将键值利用七段数码管显示出来。芯片为Altera Cyclone EP1C6Q240C8。-It s very simple,for rookies.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:984
    • 提供者:刘三虎
  1. FP-AND-DIPLAY

    0下载:
  2. VHDL分频程序:将输入为MHz数量级的频率进行分频,得到自己所需的Hz数量级频率。 七段数码管显示程序:将输出进行译码,通过数码管显示。-VHDL divider, divide the input MHz frequency of the order of magnitude, to get the required Hz magnitude frequency. Segment digital tube display program: the output of decoding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:883
    • 提供者:hhy
  1. keyboard

    0下载:
  2. 4*4按键扫描与七段数码管显示 4*4按键扫描与七段数码管显示-4*4Key scan and Digital tube
  3. 所属分类:MPI

    • 发布日期:2017-04-02
    • 文件大小:174147
    • 提供者:旺简
  1. Electronic-Lock-(VHDL)

    1下载:
  2. 开锁代码为2位十进制并行码。 当输入的密码与锁内的密码一致时,绿灯亮,开锁;当输入的密码与所内的密码不一致时,红灯亮,不能开锁。 密码可由用户自行设置。 密码可由七段数码管显示出来。 -The design is based on the VHDL language, using the MAX+ plusII parallel electron two locks design, and design process described in detail. VHDL lan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-07
    • 文件大小:46080
    • 提供者:天街小雨
  1. qiduanshumaguanshizhong

    0下载:
  2. 用簇实现七段数码管显示系统时间的功能,实时的电子时钟-Seven-segment LED display system time using the cluster
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:14875
    • 提供者:尉少明
  1. lab9

    0下载:
  2. 电子钟设计 利用8253定时器用中断方式定时,用8255并行口控制LED数码管的扫描显示及键盘作按钮的时间修改,制做成一个24小时进制的电子钟或表。 利用实验三(定时器)、实验六(中断)、实验五(并行口键盘)、七段数码管显示的线路原理图,用中断方式编程完成一个电子钟或表的设计。 -The design uses 8253 electronic clock timer with interrupt timer, display and keyboard for the button
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:2240
    • 提供者:
  1. shumaguanxiashi

    0下载:
  2. 七段数码管显示,6 位8段码LED显示电路,8位段码、6位位码是由两片74LS374输出。位码经MC1413或ULN2003倒相驱动后,选择相应显示位。-The seven segment LED display, 6, 8 segment LED display circuit, 8 Segment, the 6-bit code is output by two 74LS374. Bit code MC1413 or ULN2003 inverting driver, select the
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:731
    • 提供者:紫雾
  1. 8255_key

    0下载:
  2. EAT598实验开发板8255芯片键盘扫描七段数码管显示程序-EAT598 experimental development board 8255 chip keyboard scan 7-segment digital tube display program
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:953
    • 提供者:Tony
  1. shumaguan

    0下载:
  2. 8 位七段数码管扫描显示驱动电路 8 位七段数码管显示模块的工作原理编程实例-8-segment digital tube scan shows a drive circuit of eight seven-segment digital display module works programming examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:803
    • 提供者:javsay
  1. dianlubaojingqi

    0下载:
  2. 输入电压超过3V,显示ERR,并报警。电压值可在七段数码管显示,点阵广告屏显示或液晶屏显示-Input voltage exceeds 3V, display ERR, and the police. Voltage values ​ ​ in the seven-segment display, dot matrix screen advertising display or LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:23513
    • 提供者:damen
  1. 7segshow-drive-by-8952

    0下载:
  2. 单片机实现七段数码管显示采用89C52单片机控制 74LS273作为断码及扫描输出地址锁存。proteus仿真调试通过-MCU segment digital display 89C52 microcontroller control 74LS273 latch broken code and scanning the output address. proteus simulation debugging through
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:62306
    • 提供者:郑晓彬
  1. BCDDECODER

    0下载:
  2. 实现BCD译码,用七段数码管显示,2-10进制转换.-BCD decoding, seven-segment LED display ,2-decimal conversion.
  3. 所属分类:RichEdit

    • 发布日期:2017-11-28
    • 文件大小:2257
    • 提供者:mashasha
  1. T5.zip

    0下载:
  2. 能够通过2 位七段数码管显示按键编号,显示范围0‐15 按键采用4*4 方式的矩阵键盘,编号K0 – K15 能够分别统计按键被按下的次数,并通过1 位七段数码管显示,显示范围0‐9 ,Through two seven-segment LED display button number, range 0-15 key way 4* 4 matrix keyboard Number K0- K15 respectively Statistics button is pressed, the
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-16
    • 文件大小:953
    • 提供者:谢坚
  1. miaobiao

    0下载:
  2. 它具有计时功能。此秒表有两个按键(reset, start)按下reset键后,秒表清零,按下start键后,开始计时,再次按下start键后, 暂停计时,秒表显示内容闪烁。 用FPGA开发板上的两个七段数码管显示时间(以秒为单位),计时由0 到 59 循环。-It has a timer function. This stopwatch has two buttons (reset, start) reset button is pressed, the stopwatch is clear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:1362820
    • 提供者:张远辉
  1. Desktop

    0下载:
  2. 51单片机ad转换及七段数码管显示程序(数码管的显示调用子程序)-51 SCM ad conversion and seven-segment digital tube display program (digital tube display subroutine calls)
  3. 所属分类:SCM

    • 发布日期:2017-11-30
    • 文件大小:2137
    • 提供者:仲园
  1. chengxu

    0下载:
  2. 基于stc89c51单片机,通过ds18b20温度采集芯片将温度采集,然后通过七段数码管显示出来-Microcontroller based stc89c51 by ds18b20 temperature acquisition chip temperature acquisition, and then through the seven-segment LED display
  3. 所属分类:SCM

    • 发布日期:2017-12-04
    • 文件大小:2565
    • 提供者:robertbrown
  1. 0702

    0下载:
  2. 七段数码管显示数字时 使用VHDL语言编写-VHDL The seven-segment LED display digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:439958
    • 提供者:韩青
  1. -frequency_counter

    0下载:
  2. 包含测频计、按键分频器、七段数码管显示分频系数和频率大小,已在开发板上运行,精度较高,并包含modelsim仿真do文件和图片,原理图等。-Contains the measured frequency meter, the key divider, seven segment LED display division factor and frequency of size, has been running on the development board, high precision,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:18404765
    • 提供者:jiazhaorong
« 1 2 3 4 5 6 78 9 10 11 12 ... 20 »
搜珍网 www.dssz.com