CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 三角波

搜索资源列表

  1. xinhaofashengqi

    0下载:
  2. 用单片机编程的C语言程序,能实现正弦信号.三角波信号和方波信号的产生及转换.还能调节占空比.-Single-chip programming with C language programming, to achieve sinusoidal signal. Triangular and square-wave signal generation and signal conversion. Can adjust the duty cycle.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:1593
    • 提供者:龙龙
  1. boxingfasheng

    0下载:
  2. 具有语音功能的波形发生器,能产生三角波,正弦波,锯齿波
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:348733
    • 提供者:qiyabo
  1. xinhao1

    0下载:
  2. 采用AVR单片机编写的正弦信号、方波信号、三角波信号发生器。使用PWM调制方式进行调制后再解调通过RC滤波器滤出信号。-AVR prepared using single-chip sinusoidal signal, square-wave signal, triangular wave signal generator. The use of PWM modulation modulation through the RC filter after the demodulation filt
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:34317
    • 提供者:刘建文
  1. bongying

    0下载:
  2. 波形发生器,能产生方波、三角波、锯齿波、正弦波、踢波。-Waveform generator, to produce square wave, triangle wave, sawtooth, sine wave,
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:15094
    • 提供者:姚智龙
  1. keil51program

    0下载:
  2. 最近一段时间为准备大赛写的程序,主要有12864串口与并口显示程序,AD(0832、0809)/DA(0832)的驱动程序,以及温度传感器的程序,以及时钟芯片的驱动程序和正弦、方波、三角波等其他波的发生程序,都经过测试了-To prepare for the most recent contest to write the procedure, there are serial and parallel port 12864 display program, AD (0832,0809)/DA
  3. 所属分类:SCM

    • 发布日期:2017-05-10
    • 文件大小:2295537
    • 提供者:sjk
  1. DA

    0下载:
  2. 这个源码运用ADC0832实现的方波到三角波的转换!-ADC0832 use this source to achieve the square wave to triangular wave conversion!
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:34802
    • 提供者:刘帅
  1. AD9833

    1下载:
  2. DDS芯片AD9833的使用,利用单片机AVR进行了开发,能够产生可调频率的正弦波,方波,三角波-IN the base of AVR MCU ,using the DDS chip AD9833.This program can produce sin ,tri ,and square.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:45428
    • 提供者:黄江杰
  1. 001

    0下载:
  2. 基于凌阳spce061A单片机的正弦波、三角波、锯齿波程序-Sunplus spce061A microcontroller based sine wave, triangle wave, sawtooth wave process
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:2097
    • 提供者:vivyuan
  1. Signal_Generator

    0下载:
  2. 使用领养公司SPCE061A控制AD9833产生正弦波、三角波和方波-AD9833 control using the adoptive company SPCE061A generated sine wave, triangle wave and square wave
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:385085
    • 提供者:王永江
  1. cz

    0下载:
  2. 适用易做的正弦波,矩形波,三角波信号发生器,-Application easy to be a sine wave, rectangular wave, triangle wave signal generator,
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:77539
    • 提供者:cz
  1. sin

    0下载:
  2. 利用单片机编程产生锯齿波,三角波,正弦波源程序-The use of microcontroller programming generated sawtooth, triangle wave, sine wave source
  3. 所属分类:CSharp

    • 发布日期:2017-04-04
    • 文件大小:1245
    • 提供者:Yolanda
  1. 20090903FPGA

    0下载:
  2. 传统的波形发生器采用模拟技术的方法,这种方法构成的波形发生器电路结构复杂,仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。而现在在高科技领域,我们需要的可能是一些任意波形,如在保密雷达发波等军事方面和地震波形、汽车碰撞波形等模拟仿真应用方面。任意波形发生器现在被广泛用于自动控制系统、振动激励、仪器仪表领域。我国目前在这方面还比较落后,特别是在用DDS技术实现任意波形发生器方面。本课题我们打算用DDS技术基于FPGA核心板设计一个任意波形发生器。该仪器我们用LabVIEW来写的控制面板实现与F
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:532439
    • 提供者:zhangying
  1. daima

    0下载:
  2. 信号发生器,产生不同的波形,三角波,方波,矩形波等-Signal generator to generate different waveforms, triangle wave, square wave, rectangular wave, etc.
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:10372
    • 提供者:wangchen
  1. hanzaozhengxianboyusanjiaoboquzao

    0下载:
  2. 含噪的三角波和正弦三角波去噪问题,简便的程序,直接在matlab中打开即可-meaningful
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:605
    • 提供者:Jenny
  1. generator

    0下载:
  2. 正弦波(三角波)发生器程序,可产生三角波,很实用-Sine wave (triangular wave) generator
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1993
    • 提供者:一泓
  1. DSP

    0下载:
  2. 此次设计要求完成的任务是基于DSP的原理基础上设计一个能够产生方波、三角波、阶梯波,波形可选择,且参数可调得波形发生器。-The design requirements of the tasks is based on the principle of DSP based on the design to produce a square wave, triangle wave, step-wave, waveform choice, and the adjustable parameters
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:112222
    • 提供者:梁冬梅
  1. Sinusoidalsignalisgenerated

    0下载:
  2. 生成正弦信号生成三角波信号一维小波分解重构第1-7层逼近系数-Sinusoidal signal is generated triangular wave signal is generated one-dimensional wavelet reconstruction of the first level approximation coefficient of 1-7
  3. 所属分类:Graph Drawing

    • 发布日期:2017-04-03
    • 文件大小:4752
    • 提供者:zhangfei
  1. dds31

    0下载:
  2. FPGA单片机开发 正弦波 方波 三角波 信号发生器 按键用单片机控制-FPGA Microcontroller Development sine square triangular wave signal generator control buttons with SCM
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2456
    • 提供者:洪理梦
  1. duobo

    0下载:
  2. 使用dspbuilder中的宏模块设计多功能信号发生器,如正弦波,三角波,方波-Use dspbuilder macro module design multi-function signal generator, such as sine wave, triangle wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:514646
    • 提供者:cpuboy
  1. tri-Ftrans

    2下载:
  2. 周期三角波信号傅里叶级数展开,n为3,5,8,10。并绘出拟合图形。-Periodic triangular wave signal Fourier series expansion
  3. 所属分类:数值算法/人工智能

    • 发布日期:2013-12-25
    • 文件大小:749
    • 提供者:lss
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 50 »
搜珍网 www.dssz.com