CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 下载程序

搜索资源列表

  1. FTP文件的下载程序

    0下载:
  2. 一个用c#写的小程序啊!!很不错的哦!!希望对大家的编程起到一些启发的作用3ks-c# 1 used to write small programs ah! ! Very good! ! We hope to play some inspired programming role 3ks
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-09
    • 文件大小:8175
    • 提供者:raticfo
  1. 课堂随机点名程序源代码

    0下载:
  2. 课堂随机点名程序: 1下载不同班级的学生名单。 2将学生名单有选择性的读入内存。 3随机查找出某个班级某个学生的信息并显示出来。
  3. 所属分类:教育/学校应用

  1. 51CTO下载-windows程序设计-王艳萍配书代码

    0下载:
  2. windows程序设计-王艳萍配书代码.(Windows programming - Wang Yanping book code)
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:8903680
    • 提供者:lamko
  1. 周期谱估计程序和数据

    0下载:
  2. 周期谱估计matlab 程序还有一些数据分析,需要的自行下载(Periodic spectrum estimation matlab procedures, there are some data analysis, the need for self Download)
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:449536
    • 提供者:哈哈娜娜
  1. 微信小程序 - 2048

    1下载:
  2. 微信小程序2048,有兴趣的可以下载下来看一下。(WeChat small program 2048, interested can download to see.)
  3. 所属分类:通讯编程

    • 发布日期:2017-12-12
    • 文件大小:181188
    • 提供者:wawaqq
  1. STC下载器1

    0下载:
  2. 自动下载器,STC单片机必备的快捷自动下载器,实现不断电下载STC单片机,包含程序和原理图及PCB全套,开板用过,保准好使(Automatic downloader, STC MCU necessary fast automatic downloader, to achieve the continuous download of STC microcontroller, including the program and schematics and PCB set, the plate us
  3. 所属分类:其他

    • 发布日期:2017-12-22
    • 文件大小:7545856
    • 提供者:亮子
  1. 穿目前所有还原下载者 C 源码

    1下载:
  2. [C/C++] 穿目前所有还原的下载者 C++源码 下载者包括四个工程: CDown:生成器 userinit:真正的下载者 Dat:驱动和下载者的安装程序 pass:驱动程序 原理:驱动程序可以穿透还原卡,并且可以修改系统文件,这里是通过修改系统文件userinit.exe来实现的。userinit.exe的功能很简单,就是启动explorer.exe。这里就是通过替换uerinit.exe,伪造一个userinit.exe。这个伪造的程序可以实现uerinit.exe的原始功能,
  3. 所属分类:网络编程

    • 发布日期:2017-12-22
    • 文件大小:2017280
    • 提供者:海荣
  1. 07年国赛电动车上跷跷板程序源码

    0下载:
  2. 07年国赛电动车上跷跷板程序源码,需要的同下载(07 year race on the electric car seesaw program source code, the need for the same download)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:2048
    • 提供者:qcyggzz
  1. 21ic下载_stm32_DHT11_温度采集系统_ucos

    0下载:
  2. STM32程序 亲测可用,需要的可自行下载(STM32 program pro test available, need to download)
  3. 所属分类:其他

    • 发布日期:2017-12-25
    • 文件大小:8019968
    • 提供者:孙悟饭
  1. vb串口接收程序

    0下载:
  2. vb串口接收程序。初学者可以下载看看。应该有用吧(VB serial port receiver)
  3. 所属分类:其他

    • 发布日期:2017-12-20
    • 文件大小:2048
    • 提供者:shenlongayy
  1. PZISP自动下载软件

    0下载:
  2. PZISP自动下载软件,可以使用串口进行对一些芯片程序的下载(PZISP automatically download software, you can use serial port for some chip program download)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:46080
    • 提供者:孤魂鬼火
  1. 新plc程序_A

    1下载:
  2. 程序可作为Bootloader(支持启动脚本功能)、支持GDB源代码级调试、Flash在线烧写。支持网络、串口通信调试,支持TCP/IP协议、HTTP/TFTP、xyzModem协议下载程序。可源代码级调试uClinux内核。 硬件:思创黄金开发板(S3C44B0X/SST39VF160/RTL8019/10M OSC)。 硬件配置: CPU:S3C44B0X RAM:8M FLASH:SST39VF160 OSC:10M NET:RTL8019 符合上列配置,则除了网络以外,其他功能一般都能使
  3. 所属分类:其他

    • 发布日期:2017-12-08
    • 文件大小:3072
    • 提供者:奋斗的羊
  1. 《C语言程序设计:现代方法(第2版)》源代码

    1下载:
  2. C语言程序设计现代方法第2版的源代码,建议下载,适合初学者(C programming language, modern methods, Second Edition, C programming language, modern methods Second Edition)
  3. 所属分类:其他

    • 发布日期:2017-10-10
    • 文件大小:93184
    • 提供者:hailun123
  1. C数值算法程序大全

    0下载:
  2. 比较全的C语言算法程序,欢迎大家下载使用。(C language algorithm program)
  3. 所属分类:其他

    • 发布日期:2017-12-30
    • 文件大小:4260864
    • 提供者:戒灵
  1. HG娱乐城整站程序

    1下载:
  2. 比较精品的一款程序,不容易才拿到手,现在分享给大家吧!源码是完整的内带接水软件,无安装说明,请下载自行研究,请勿用于商业!(Comparison of a high-quality procedures, it is not easy to get hands, and now share with you! Source code is a complete internal water supply software, no installation instructions, please
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:43343872
    • 提供者:Elvis_cg
  1. FPLoader

    0下载:
  2. 松下PLC工程文件加密,用BIN下载程序(Panasonic PLC project file encryption, with BIN download program)
  3. 所属分类:*行业应用

    • 发布日期:2017-12-20
    • 文件大小:142336
    • 提供者:PL51
  1. 人造地震波matlab程序

    2下载:
  2. 生成人工地震波,根据现有的规范反应谱,请自行下载传阅(Seismic wave synthesis procedures used to generate artificial seismic waves! ! ! ! !)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-31
    • 文件大小:2048
    • 提供者:大招1
  1. 神经网络模型及其MATLAB仿真程序设计(周开利)

    1下载:
  2. 神经网络模型及其MATLAB仿真程序设计很详细的讲解了神经网络的原理,以及仿真程序的设计,仅供大家参考,如果喜欢,请下载正版。(Neural network model and its MATLAB simulation program design, a very detailed explanation of the principles of neural networks, as well as the simulation program design, for your refere
  3. 所属分类:其他

    • 发布日期:2018-01-04
    • 文件大小:19396608
    • 提供者:我爱bp
  1. UJIndoorLoc

    0下载:
  2. 主要演示Python画曲线的程序,没有太大的用处,只是为了能够下载程序(The main demonstration of Python drawing curve program, not too much use, just to download the program)
  3. 所属分类:绘图程序

    • 发布日期:2018-01-04
    • 文件大小:1463296
    • 提供者:文字将军
  1. (EN)8051_COM_port_ISP_v3.00

    0下载:
  2. 笙泉8051程序下载,支持通过串口在线升级。串口在线更新只能用在更新芯片内的程序,ISP引导码以及上位机软件都已经配套好,如要自行开发自己的ISP引导码以及上位机软件,底层的Flash程序更新部分可以参考MA805 IAP DEMO(Megawin 8051 series in system programmer (default) & in circuit programmer. (WIN8 supported))
  3. 所属分类:串口编程

    • 发布日期:2018-01-06
    • 文件大小:758784
    • 提供者:llcabc
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com