CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 交通灯控制器

搜索资源列表

  1. jiaotongdeng

    0下载:
  2. 运用 vhdl语言编写 交通灯控制器的设计-jiaotongdeng
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1108120
    • 提供者:yanzi
  1. Trafficsignalcontroller

    0下载:
  2. 交通灯控制器 在十字路口的两个方向上各设一组红绿黄等,显示顺序为:其中一个方向是绿灯,黄灯,红灯,另一个方向是红灯,绿灯,黄灯。-Traffic signal controller at the crossroads of two directions, each with a set of red, green and yellow, shows the following order: one direction is green, yellow, red, and the other
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2137
    • 提供者:Xin Lu
  1. 2008330154139499jiaotongdeng

    0下载:
  2. 交通灯控制器.采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件设计。-Traffic signal controller. Using MSC-51 Series MCU ATSC51 and programmable parallel I/O device interface chip 8255A centered design.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:129837
    • 提供者:Sunai
  1. trafficlight

    0下载:
  2. 采用MSC-51系列单片机来设计交通灯控制器,实现了车辆、行人轮流通行及倒计时显示,还具有强制通行功能。-By MSC-51 Series MCU to design the traffic signal controller, to achieve a vehicle, pedestrian access, and countdown shows in turn, also has a mandatory access function.
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:969
    • 提供者:jhzhu
  1. trafficcontroller

    0下载:
  2. 基于VHDL语言的交通灯控制器源程序代码及附带图片等。-traffic controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:71749
    • 提供者:刘智虎
  1. ss

    0下载:
  2. Verilog i2c 实例 有点粗糙 用VHDL设计交通灯控制器.doc-sss
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:89793
    • 提供者:谭腾飞
  1. jiaotongdeng

    0下载:
  2. 交通灯控制器源代码:模块,时钟输入,复位输入,红灯输出,绿灯输出,黄灯输出,七段码管显示输出,七段码管扫描驱动-Traffic light controller source code: the module, the clock input, reset input, output red light, green light out, the yellow light output, seven segment display output tubes, seven segment disp
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:1397
    • 提供者:whai
  1. 12523O610-2D2

    0下载:
  2. 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了
  3. 所属分类:assembly language

    • 发布日期:2017-04-25
    • 文件大小:74499
    • 提供者:cyl
  1. jtd

    0下载:
  2. 用VerilogHDL设计的交通灯控制器,经FPGA验证过-a process based on VerilogHDL is about traffic-light controlling.
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-07
    • 文件大小:15649
    • 提供者:tianqingse
  1. FPGAexamplesofcode

    0下载:
  2. FPGA应用开发入门与典型实例代码,典型实例5_1 交通灯控制器-FPGA Application Development and Typical examples of code, a typical example of traffic light controller 5_1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:261513
    • 提供者:frankliang
  1. EDA5

    0下载:
  2. 交通灯控制器设计:1.有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制; 2.交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间; 3.系统有MRCY、MRCG、MYCR、MGCR四个状态; 4.相间公路右侧各埋有一个传感器,当有车辆通过相间公路时,发出请求信号S; 5.平时系统停留在MGCR状态,一旦S信号有效,经MRCY转入MRCG状态,但要保证MRCG状态也不得短于一分钟; 6.一旦S信号无效,系统脱离MRC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1037675
    • 提供者:周旋
  1. jiaotongdengkongzhiqi

    0下载:
  2. 设计一个用于十字路口的交通灯控制器。 基本要求: 东西和南北方向各有一组红、黄、绿灯用于指挥交通,红、黄、绿的持续时间分别为25s,5s,20s。 当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。 一组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。 -Design a controller for a traffic light intersection. Basic requirements: north-s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:140791
    • 提供者:唐晓明
  1. light

    0下载:
  2. 交通灯在十字路口,每条道路各有一组红、黄、绿灯和倒计时显示器,用以指挥车辆和行人有序的通行。其中,红灯亮表示该道路不可以通行;黄灯亮表示停车;绿灯亮表示可以通行;倒计时显示器是用来显示允许通行或禁止通行的时间。交通灯控制器就是用于自动控制十字路口的交通灯和计时器,指挥各种车辆和行人安全通过。-light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:29922
    • 提供者:五后
  1. jiaotongdeng

    0下载:
  2. 基于EDA技术交通灯控制器verilog程序代码-Traffic signal controller based on EDA technology verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:6483
    • 提供者:liujun
  1. jiaotongdengmokuai

    0下载:
  2. 基于FPGA的交通灯控制器,语言是VHDL-Traffic signal controller based on FPGA, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:5303
    • 提供者:行者
  1. trafficlight

    1下载:
  2. 基于VHDL硬件描述语言的数字交通灯控制器的设计与实现-VHDL hardware descr iption language based on the number of traffic light controller design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3216266
    • 提供者:林雨雄
  1. traffic-control

    0下载:
  2. 设计一个只有四种信号灯的交通灯控制器:由一条主干道和—条支干道汇合成十字路口,在每个入口处设置红、绿、黄、左拐允许四盏信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外,左拐灯亮允许车辆向左拐弯。信号灯变换次序为:主支干道交替允许通行,主干道每次放行40s,亮5s红灯让行驶中的车辆有时间停到禁行线外,左拐放行15s,克5s红灯;支干道放行30s,亮5s黄灯,左拐放行15s,亮5s红灯……。各计时电路为倒计时显示。-Only four traffic lights t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:15360
    • 提供者:小石头
  1. deng

    0下载:
  2. 单片机控制交通灯,本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能-SCM control traffic lights, the system uses MSC-51 Series MCU ATSC51 and programmable parallel I/O interface chip 8255A-centered design of traffic li
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:131202
    • 提供者:liyy
  1. jiaotongdengchengxu

    0下载:
  2. 采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示-By MSC-51 Series MCU ATSC51 and programmable parallel I/O interface chip 8255A is designed as the center of traffic light controller device
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:1671
    • 提供者:小莫
  1. control

    0下载:
  2. 这个是用quartus2编写的交通灯控制器,它实现了南北两个方向的红灯,黄灯,绿灯显示的时间,是一个很好的设计参考-This is written by quartus2 traffic light controller, which implements the northern and southern red, yellow, green shows the time, is a good design reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:406413
    • 提供者:何轩
« 1 2 3 4 56 7 8 9 10 »
搜珍网 www.dssz.com