CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 交通灯 verilog

搜索资源列表

  1. Verilog_traffic

    0下载:
  2. Verilog 的交通灯的例子。源代码中有详细的注释。-Verilog traffic lights examples. The source code for detailed comments.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:123720
    • 提供者:徐勇
  1. cpldtraffic

    0下载:
  2. 交通灯信号的fpga实现。通过verilog语言编程,在fpga上调试通过。-traffic signal lights they simply achieve. Through the Verilog language programming, they simply passed on debugging.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:948
    • 提供者:王海
  1. traffic_light

    0下载:
  2. 一个用verilog编写的模拟交通灯控制的源代码。模拟在十字路口的双向交通灯。-a prepared using Verilog simulation of traffic lights to control the source code. Simulation at the crossroads of two-way traffic lights.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6137
    • 提供者:江河
  1. trafficontrol

    0下载:
  2. 使用verilog编写的交通灯控制程序,各方向通行时间可调,绿灯5s闪烁,在maxplus下调试通过,附仿真波形,在EP系列实验板上测试成功-use Verilog prepared by the traffic lights control procedures, the passage of time adjustable direction, green 5s flickered in maxplus under debugging, simulation waveforms with t
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:113694
    • 提供者:礼拜
  1. verilog-design-of-the-traffic-lights

    0下载:
  2. 基于verilog的交通灯程序,课程设计的时候绝对用得上-The text is about how to design the traffic lignt it is very useuful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3985
    • 提供者:zhouping
  1. verilog

    0下载:
  2. verilog hdl 交通灯控制实验 源代码为y4.v-verilog hdl traffic light control experiment source code y4.v
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:280154
    • 提供者:孤独游戏
  1. verilog

    0下载:
  2. 一些基本的Verilog 代码 包括基本的分频器设计,交通灯设计,自动售货机设计,有限状态机的设计-Some basic Verilog For freshman
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:3667
    • 提供者:Jim Green
  1. verilog

    0下载:
  2. 这是一种日常十字路口交通灯的控制程序,很不错的-This is a daily crossroads traffic lights control procedures, very good
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-24
    • 文件大小:371396
    • 提供者:唐湘松
  1. Verilog-traffic-light

    0下载:
  2. 该模块实现道路交通灯控制,使用verilog语言编写,在FPGA上实现。验证正确。-The module of traffic light control, the use of Verilog language, based on FPGA. Verify that the correct.
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:1017029
    • 提供者:庄德坤
  1. verilog--traffic-lights

    0下载:
  2. 基于verilog的交通灯程序,实现了定时的灯的转换-verilog procedures for traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:4190
    • 提供者:万中原
  1. traffic-light-control-verilog-code

    0下载:
  2. 交通灯控制器verilog代码,实现交通灯的控制-traffic light control verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:985
    • 提供者:徐以为
  1. 1_traffic_light

    0下载:
  2. 交通灯verilog代码, 包括测试代码。-Traffic lights verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1672
    • 提供者:项中元
  1. verilog-traffic

    0下载:
  2. 模拟一个简单的十字路口交通灯(各个只有红绿黄灯,没有转弯灯)。交通灯一共有4 个状态,一是倒计时60 秒,同时亮南北方向绿灯、东西方向红灯;二是倒计时5 秒,同时数码管闪烁显示‘0’,同时亮南北方向红灯、东西方向黄灯;三是倒计时30 秒,东西方向亮红灯、南北方向绿灯;四是倒计时5 秒,数码管闪烁显示‘0’,东西方向亮黄、南北方向红灯。四个状态循环就构成了一个简单的交通灯(未了降低难度,我们设计简化交通灯,与真实情况不太一样)。-Simulate a simple intersection tra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:229116
    • 提供者:pudn
  1. 135-classic-Verilog-design-example

    0下载:
  2. Verilog的135个经典设计实例,移位寄存器,串并转换,交通灯控制等-135 classic Verilog design example, the shift register, string and conversion, traffic light control, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-06
    • 文件大小:115712
    • 提供者:王美玲
  1. traffic-light-Verilog

    0下载:
  2. 交通灯分为X组和Y组,每组包括了2位倒计时数码管和红黄绿三色LED信号灯(每组包括﹢、-两小组,显示内容一样),考虑到应用需求,要求芯片可通过I2C接口连接到上位机,以调节内部控制寄存器,此为Verilog代码,包含led、seg、timer等模块。-Traffic lights are divided into groups X and Y groups, each including two digital countdown yellow-green and red LED lights
  3. 所属分类:transportation applications

    • 发布日期:2017-12-13
    • 文件大小:16268
    • 提供者:chen le
  1. Verilog-trafficLights

    0下载:
  2. 使用格雷码和one-hot码设计的交通灯程序-Gray code using traffic lights and one-hot code design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:453632
    • 提供者:盛迪
  1. Mealy_TrafficLight

    0下载:
  2. 基于FPGA交通控制器的Mealy状态机实现(Mealy state machine controller based on FPGA traffic)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:265216
    • 提供者:9901tzh
  1. ve_lab

    0下载:
  2. verilog语言实现智能交通灯控制系统,除现有交通灯系统基本功能以外,还包括未来交通可能出现的一些需要智能控制的情况进行自定义规则(比如检测车流量来控制交通灯持续时间,高峰期主干道绿灯时间将加倍等规则)(The project was completed by myself about two months ago. I think it will be useful for traffic control system.But there are many points needed to
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:2674688
    • 提供者:沈浩
  1. Verilog_traffic

    0下载:
  2. 若农场路无车辆,则在高速路保持绿灯。在探测农场路有车辆,高速路上的交通灯应由绿到黄,再到红,并允许农场路方向灯变绿,绿灯亮一段时间,由绿变黄再到红。(If there is no vehicle on the farm road, keep the green light on the highway. There are vehicles on the farm road, the traffic lights on the high speed road should be green to
  3. 所属分类:VHDL/FPGA/Verilog

  1. traffic_light

    0下载:
  2. 使用Verilog编写交通灯控制代码,能够直接进行运行仿真。(Using Verilog to write traffic light control code, can run the simulation directly.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:12288
    • 提供者:王家小丫头
« 1 2 34 5 6 7 8 9 »
搜珍网 www.dssz.com