CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 信号发生器 正弦波

搜索资源列表

  1. ddsplus

    0下载:
  2. 正弦波信号发生器,同时输出同频率锯齿波作为外触发扫描信号-Sine wave signal generator, while the output of the same frequency sawtooth sweep signal as an external trigger
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3457789
    • 提供者:朱志超
  1. project06

    0下载:
  2. 拿vs写的c#程序,一个函数信号发生器,可以设置调制信号和载波信号,这两种信号可以设置三角波方波和正弦波三种,可以把调制信号和载波相乘,可以截图。可调分量。-Take vs write c# program, a function signal generator, you can set the modulating signal and the carrier signal, the two triangular wave signal can be set to three kinds o
  3. 所属分类:CSharp

    • 发布日期:2017-04-02
    • 文件大小:75970
    • 提供者:谢天
  1. xinhaoyuan

    0下载:
  2. DDS产生多种波形信号发生器,包括正弦波,三角波,方波,锯齿波。运行于Altera Cyclone FPGA平台。-DDS signal generator generates a variety of waveforms including sine, triangle wave, square wave, sawtooth wave. Running on Altera Cyclone FPGA platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:61075
    • 提供者:qiao
  1. 9850

    0下载:
  2. DDS信号发生器9850控制.产生猝发音,正弦波,方波等-9850 DDS signal generator control generates tone bursts, sine wave, square wave, etc.
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:1074
    • 提供者:焦先生
  1. FPGA(QII)

    1下载:
  2. 数字信号发生器,FPGA做的仿真程序,包含三角波、锯齿波、正弦波、方波等共六种波形。-FPGA AND alter SIN SAN JIAO BO JUCHIBO FANG BO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4196596
    • 提供者:小华
  1. xinhao

    0下载:
  2. 单片机的信号发生器,通过按键输出不同的波形,波形有三角波,方波,正弦波-SCM signal generator output through the buttons of different waveforms, waveforms triangle wave, square wave, sine wave
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:36583
    • 提供者:demumy
  1. Text2

    0下载:
  2. 基于单片机的DDS信号源设计: 设计一台函数信号发生器,使其能产生正弦波、三角波、方波信号。 1、函数信号发生能产生正弦波、三角波、方波三种周期性波形。 2、输出频率在100hz到6khz 3、可实时显示输出信号的类型、频率 4、输出信号波形无明显失真 -Microcontroller-based DDS signal source design: design a function signal generator, so that it can produc
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:2258
    • 提供者:田璐
  1. tt

    0下载:
  2. 正弦波信号发生器,主要产生周期性的正弦波。-Sine wave signal generator, the main produce periodic sine wave.
  3. 所属分类:DSP program

    • 发布日期:2017-04-14
    • 文件大小:4658
    • 提供者:王浩
  1. Cyuyanzhongduanfangshi-

    0下载:
  2. 单片机信号发生器,采用C语言中断方式,用于产生正弦波、三角波、方波、锯齿波,附有注释,可靠易行,绝对经典。-SCM signal generator, using C language interrupt mode, used to generate sine, triangle wave, square wave, sawtooth, annotated, reliable and easy, absolute classic.
  3. 所属分类:CSharp

    • 发布日期:2017-04-10
    • 文件大小:1386
    • 提供者:周云婷
  1. tlv5616

    1下载:
  2. 利用MSP430F149单片机和TI的12为DA,通过查表方式输出频率可调的正弦波,修改后可产生方波、三角波和锯齿波等波形,即可实现低频DDS信号发生器的功能。-TI MSP430F149 microcontroller and use 12 as the DA, through the look-up table sine wave output frequency is adjustable, can be modified to produce a square wave, triangl
  3. 所属分类:SCM

    • 发布日期:2017-03-04
    • 文件大小:140288
    • 提供者:杨鸣
  1. code1

    0下载:
  2. 采用单片机及其外围电路设计的多功能信号发生器。它采用编程的方法来产生方波、锯齿波、三角波、正弦波。-SCM and its peripheral circuit design using multifunctional signal generator. It uses programming methods to produce a square wave, sawtooth, triangle wave, sine wave.
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2514
    • 提供者:于萌
  1. cycloneiii_3c16_signal

    0下载:
  2. 基于FPGA,DDS原理的双路正弦波信号发生器,含有与msp430通信模块程序。-Based on FPGA, DDS principle of dual sine wave signal generator, communication modules contain msp430 procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12501510
    • 提供者:王佳兴
  1. freq_k

    0下载:
  2. 正弦信号,余弦信号和三角波信号发生器,并且可以调幅度-Sine signal and cosine signal and the triangular wave signal generator
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2060806
    • 提供者:莫小竹
  1. AD1851_test

    0下载:
  2. 基于FPGA(EP2C5Q208C8N)和串行DAC芯片(AD1851)的正弦波信号发生器。-sin wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:819
    • 提供者:wanganran
  1. Signal-generator

    0下载:
  2. 利用8051单片机、常用数字逻辑接口器件、ROM、RAM存储器器件、DAC数模转换等,设计一种基于单片机的信号发生器,要求能够通过DAC接口产生低频范围的三角波、方波、阶梯波、正弦波等不同波形-Signal generator
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:913728
    • 提供者:eli
  1. signel

    0下载:
  2. 设计一个虚拟信号发生器,要求: (1)可以生成正弦波、方波、三角波、锯齿波、白噪声五种基本波形。 (2)可以生成一个特定(自行设计)波形。 (3)可生成扫频信号。 (4)最高输出频率100HZ。 (5)幅度可调:0-10V。 (6)占空比可调。 (7)两路输出通道,可进行选择。 (8)波形显示等参数可调。 -Design a virtual signal generator requirements: (1) you can produce sine, squ
  3. 所属分类:LabView

    • 发布日期:2017-05-02
    • 文件大小:604088
    • 提供者:陈一伟
  1. dds31

    0下载:
  2. FPGA单片机开发 正弦波 方波 三角波 信号发生器 按键用单片机控制-FPGA Microcontroller Development sine square triangular wave signal generator control buttons with SCM
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2501
    • 提供者:nddiffi
  1. xinhaofashengqi

    0下载:
  2. 多功能信号发生器使用说明书 1.按键部分的使用   K1表示递增锯齿波、K2表示递减锯齿波、K3表示三角波、K4表示阶梯波、K5表示方波、K6表示正弦波、A表示整数部分幅度调节(步进值1V)、A.表示小数部分幅度调节(步进值0.1V)。最后两个按键留作以后升级使用。    2.拨码开关的使用 本次设计使用的是8位的拨码开关,第8位(FC)代表调频,拨通即可调频,第7位(ZANKONG)代表调整方波的占空比,拨通即可调占空比。开关拨通即相应的CPLD输入口为高电平。-Versa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3021162
    • 提供者:程浩武
  1. AD9834

    0下载:
  2. DDS-AD9834驱动程序。Ad9834是一款能产生高质量正弦波和三角波的低功耗DDS芯片,可以用来制作信号发生器-DDS-AD9834 driver. Ad9834 is capable of producing a high-quality sine wave and triangular wave low-power DDS chip that can be used to produce a signal generator
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2379
    • 提供者:覃振飞
  1. key2

    0下载:
  2. FPGA单片机 vhdl编程正弦波信号发生器 加2个按键控制频率加减-FPGA Microcontroller vhdl programming sine wave signal generator plus two buttons control the frequency of addition and subtraction
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1107
    • 提供者:杰拉德二世
« 1 2 ... 9 10 11 12 13 1415 16 17 18 »
搜珍网 www.dssz.com