CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 信号 发生

搜索资源列表

  1. vhdl

    0下载:
  2. 实现信号发生器的vhdl教学代码,提供了串口的功能和发生波形的功能,-Realization of the signal generator vhdl code for teaching
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:532971
    • 提供者:周一
  1. signalkey

    0下载:
  2. tq2440开发板上的中断按键实现,有中断发生时发送信号给应用程序.-tq2440 development board interrupt key to achieve .......................
  3. 所属分类:Driver develop

    • 发布日期:2017-04-10
    • 文件大小:1441
    • 提供者:徐浩
  1. shuangyinpinxinhaoanjian

    0下载:
  2. 双音频信号处理,模拟电话的各个按键的波形发生情况-Dual audio signal processing, waveform of each key of the occurrence of an analog telephone
  3. 所属分类:Wavelet

    • 发布日期:2017-04-13
    • 文件大小:2435
    • 提供者:zhao
  1. EDAvhdl

    0下载:
  2. (1)抢答器可容纳四组选手,并为每组选手设置一个按钮供抢答者使用; 为主持人设置一个控制按钮,用来控制系统清零(组别显示数码管灭灯)和抢答开始。 (2)电路具有对第一抢答信号的锁存、鉴别和显示等功能。在主持人将系统复位并发出抢答指令后,提示抢答开始,计时显示器显示初始时间并开始倒计时,若参赛选手按下抢答按钮,则该组别的信号立即被锁存,并在组别显示器上显示该组别,同时扬声器也给出音响提示,此时,电路具备自锁功能,使其他抢答按钮不起作用。 (3)主持人对抢答结果进行确认,给出倒计时计数
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2103618
    • 提供者:黄培哲
  1. VHDL

    0下载:
  2. (1)抢答器可容纳四组选手,并为每组选手设置一个按钮供抢答者使用; 为主持人设置一个控制按钮,用来控制系统清零(组别显示数码管灭灯)和抢答开始。 (2)电路具有对第一抢答信号的锁存、鉴别和显示等功能。在主持人将系统复位并发出抢答指令后,提示抢答开始,计时显示器显示初始时间并开始倒计时,若参赛选手按下抢答按钮,则该组别的信号立即被锁存,并在组别显示器上显示该组别,同时扬声器也给出音响提示,此时,电路具备自锁功能,使其他抢答按钮不起作用。 (3)主持人对抢答结果进行确认,给出倒计时计数允许信
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:1707148
    • 提供者:黄培哲
  1. Multi-function-signal-generator

    0下载:
  2. 该系统是基于声卡的多功能信号发生器的系统设计,主要是利用计算机的声卡实现波形的发生与输出-The system is versatile sound system design based on signal generator, mainly using the computer s sound card to achieve the output waveform occurs
  3. 所属分类:LabView

    • 发布日期:2017-04-07
    • 文件大小:239420
    • 提供者:林研
  1. ss

    0下载:
  2. 随着计算机网络的迅速发展,发生了翻天覆地的变化,数字信息的保护已是一个刻不容缓的问题,由于数字内容很容易复制,修改,网络上的数字内容分发的,有很多的盗版,侵权行为,知识产权保护,以抵抗各种侵权行为,近年来一直是世界上一种新的信息安全技术的提出,数字水印技术,它是一种新的数字信息技术为数字文件的特定著作权利益的保护。现在流行的水印算法在国内外主要是基于空间域和变换域,从综合性能比较,变换域的方法更为优越,目前占主要地位,未来的趋势为变换域方法为主流。本文提出了一种离散余弦变换(DCT)基于变换域的
  3. 所属分类:2D Graphic

    • 发布日期:2017-04-25
    • 文件大小:500007
    • 提供者:汪超
  1. Untitled

    0下载:
  2. 含有实验所得的滚动轴承故障数据,共振解调法用于对振动信号的故障诊断,并能准确判定故障发生的部位。-Rolling Bearing Fault contains experimental data obtained, the resonance demodulation method for fault diagnosis of vibration signals, and can accurately determine the site of the fault.
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2338
    • 提供者:高闯
  1. fangzhen

    10下载:
  2. 用matlab仿真轴承故障信号构造轴承故障的仿真信号,其中轴承的固有频率fn=3000Hz , 位移常数y0=5, 阻尼系数ξ=0.1, 冲击故障发生的周期为0.01s,采样频率fs=20KHz, 采样点数N=4096。要求:根据上述条件构造轴承故障的仿真信号,画出其时域波形及频谱图。-Matlab simulation bearing fault signal
  3. 所属分类:Other systems

    • 发布日期:2015-03-30
    • 文件大小:1024
    • 提供者:常新宇
  1. xinhao

    0下载:
  2. 信号的产生,包括三角波,正弦波,锯齿波的发生,可以自己进行频率的设定。-signal
  3. 所属分类:CSharp

    • 发布日期:2017-04-26
    • 文件大小:40644
    • 提供者:罗望
  1. xinhaofashengqi

    0下载:
  2. 这是一个Labview中的信号发生器,可以发出想要的波形,对于波形的模拟发生效果很好,你值得拥有-This is a Labview the signal generator, can be issued waveform want to simulate the effect of waveform occurs well, you deserve
  3. 所属分类:LabView

    • 发布日期:2017-04-25
    • 文件大小:12901
    • 提供者:小步兵
  1. Signal-generator

    0下载:
  2. 基于单片机的信号发生器,可发生信号,与正常信号发生器一样使用-The signal generator based on single chip, can happen signals, used as a normal signal generator
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:18489
    • 提供者:刘康
  1. prony

    1下载:
  2. 小电流接地系统发生接地故障时,利用prony算法提取暂态特征分量并利用相关分析法实现选线取得很好的效果,有效的排除了干扰信号的影响,结论明显、准确性高。-When the small current grounding system occurs ground fault , we use prony algorithm to extract the transient characteristics of the component and line selection by using co
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:572517
    • 提供者:双双
  1. sin

    0下载:
  2. 这是一个基于vhdl编写的正弦信号发生器,实现的功能为发生正弦波,给dac 0832采样-This is a sine signal generator based on VHDL code, realize the function of sine wave, give dac 0832 samples
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-26
    • 文件大小:318542
    • 提供者:薛冰
  1. labview

    0下载:
  2. 本文通过运用myDAQ搭建一个在线音效处理系统,利用LabVIEW控制myDAQ完成信号的采集、分析以及发生。 -By using myDAQ build an online audio processing system, using the LabVIEW Control myDAQ complete signal acquisition, analysis, and happen.
  3. 所属分类:LabView

    • 发布日期:2017-04-29
    • 文件大小:380056
    • 提供者:zhangbeibei
  1. DDS

    0下载:
  2. verilog编写,使用fpga中dds手法,可以输出任意波形的发生信号。-verilog write, use the dds fpga way, you can output an arbitrary waveform signal occurs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:507229
    • 提供者:李俊
  1. hanshuxinhaofasehngqi

    1下载:
  2. 基于STM32的简易信号发生器该信号发生器应能产生三角波、方波、锯齿波该信号发生器为单极性输出,0V~3.3V该信号发生器发生信号的基本频率1KHZ,频率可调(1KHZ~5KHZ,步进10HZ) -Simple signal generator based on STM32. The signal generator should be able to produce a triangle wave, square wave, sawtooth wave. The signal generato
  3. 所属分类:SCM

    • 发布日期:2017-05-20
    • 文件大小:5604274
    • 提供者:无是的
  1. sin_keyi_16_jin_2

    0下载:
  2. 本次课程设计是做基于80C51F020的信号发生器的设计,要求采用编程的方法来实现正弦波的发生。通过D/A转换成模拟量而输出正弦波的采样值。把“采样定理”相关的理论运用于实践,利用模拟低通滤波器,将DAC转换将正弦波采样波形滤出,并显示在示波器上。要求产生频率为100Hz到1KHz的正弦波。-This course is designed to do 80C51F020 signal generator based on using programming methods to achieve
  3. 所属分类:SCM

    • 发布日期:2017-05-13
    • 文件大小:2933201
    • 提供者:常仲翰
  1. HC-SR04-stm8s

    0下载:
  2. 超声波发生器内部结构有两个压电晶片和一个共振板。当它的两极外加脉冲信号,其频率等于压电晶片的固有振荡频时,压电晶片将会发生共振,并带动共振板振动,便产生超声波。-Ultrasonic generator internal structure has two piezoelectric chip and a sounding board. When it is applied bipolar pulse signal having a frequency equal to the natural
  3. 所属分类:Com Port

    • 发布日期:2017-04-01
    • 文件大小:43629
    • 提供者:tang
  1. RGB

    0下载:
  2. rgb三色led,随着输入的pwm信号的占空比不断发生改变,三色rgb的颜色强弱也不断变化,使发出的光色改变-rgb tricolor led, as the duty cycle of pwm input signal constantly changes, three-color rgb color strength are constantly changing, so that the light emitted the color change
  3. 所属分类:SCM

    • 发布日期:2017-05-09
    • 文件大小:1859397
    • 提供者:于斌
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 »
搜珍网 www.dssz.com