CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 带模块

搜索资源列表

  1. MyRichEdit

    0下载:
  2. 文本编辑模块的设计。简单文本编辑和带格式的文本编辑模式的实现-Text editor module design. The realization of simple text editor with formatted text edit mode
  3. 所属分类:Static control

    • 发布日期:2017-11-20
    • 文件大小:187066
    • 提供者:谢小言
  1. DDC_FPGA

    2下载:
  2. 基于FPGA的数字下变频器(DDC)的设计,将采样得到的高速率信号变成低速率基带信号,以便进行下一步的信号处理。由NCO、数字混频器、低通滤波器和抽取滤波器四个模块组成。采用自编的加法树乘法器,提高乘法运算效率。-Design based on FPGA digital downconverter (DDC), the high-speed signal will be sampled baseband signal into a low rate for the next step in th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:52476
    • 提供者:shengxx
  1. Miracle-login-source

    0下载:
  2. 奇迹登录器源码带奇迹登录服务端模块以打包上传-The server module miracle login source with miracle Login packaged upload
  3. 所属分类:ELanguage

    • 发布日期:2017-11-19
    • 文件大小:705335
    • 提供者:龙哥
  1. 12864ZW-Instruction

    0下载:
  2. 12864ZW说明书,12864(控制器是ST7920)带中文字库。 LCD|液晶屏幕|中国深圳市绘晶科技公司|产品|模块|控制器|ST7920|中文字库-12864ZW manual, 12864 (controller ST7920) with a Chinese font. LCD | LCD screen | Chinese, painted crystal technology companies, Shenzhen | | module | Controller
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-06
    • 文件大小:394100
    • 提供者:lwj
  1. 12864-digital-clock

    0下载:
  2. 12864数字时钟 12864(控制器是ST7920)带中文字库。 LCD|液晶屏幕|中国深圳市绘晶科技公司|产品|模块|控制器|ST7920|中文字库-12864 Digital Clock 12864 (controller ST7920) with a Chinese font. LCD | LCD screen | Chinese, painted crystal technology companies, Shenzhen | | module | Contr
  3. 所属分类:SCM

    • 发布日期:2017-11-28
    • 文件大小:24576
    • 提供者:lwj
  1. 12864-Display-experiment

    0下载:
  2. 12864显示实验 12864(控制器是ST7920)带中文字库。 LCD|液晶屏幕|中国深圳市绘晶科技公司|产品|模块|控制器|ST7920|中文字库-12864 experiment 12864 (controller ST7920) with a Chinese font. LCD | LCD screen | Chinese, painted crystal technology companies, Shenzhen | | module | Controll
  3. 所属分类:SCM

    • 发布日期:2017-11-23
    • 文件大小:29549
    • 提供者:lwj
  1. ayan

    0下载:
  2. 最新内存读写模块,写自用模块必备。 易语言驱动读写内存模块源码,带默认驱动一个。可自行添加驱动修改函数。-Latest memory module to read and write, and write-occupied module essential. Thank you for support, the latest memory read and write module, write-occupied module essential. Thank you for supp
  3. 所属分类:ELanguage

    • 发布日期:2017-11-08
    • 文件大小:3843
    • 提供者:文才
  1. feifa

    1下载:
  2. 不非法驱动源码,提供给DNF辅助作者, 一切都能参考易语言驱动读写内存模块源码,带默认驱动一个。可自行添加驱动修改函数。-Not illegal driver source code available to DNF auxiliary of everything can reference easy drive to read and write memory module source language with default driver. Can add their own
  3. 所属分类:ELanguage

    • 发布日期:2017-11-08
    • 文件大小:145778
    • 提供者:文才
  1. ChineseCode

    0下载:
  2. 中文验证码源码,C#完美实例,自带判断模块-Chinese verification code source code, C# a perfect example of own judgment module
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-08
    • 文件大小:12903
    • 提供者:David
  1. phpdisk_v6.8.0_20130110_gbk

    0下载:
  2. KESIONICMS(后面简称ICMS)智能建站管理系统采用微软.NET2.0平台以及全新的软件开发环境(VS2010,SqlServer2000/2005/2008),采用B/S三层结构开发的内容管理系统。系统采用模块化开发方式,除了自带的文章、图片、下载系统外还可以在文章、图片、下载三个系统模型的基础上自定义出功能模型比如房产系统,酒店系统,图片系统,软件下载等;自定义表单助您轻松打造在线报名,举报投诉等功能。万能的标签管理把系统实用性发挥到极至“没有做不到,只有想不到”。8年开发经验的优秀
  3. 所属分类:Grid Computing

    • 发布日期:2017-11-02
    • 文件大小:1500846
    • 提供者:孔德彭
  1. baseband-signal

    0下载:
  2. 通信原理中,基带信号产生与码型变换模块的汇编实现。-Communication principle, the baseband signal generating code conversion module assembly.
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-11-16
    • 文件大小:1206
    • 提供者:yangyang
  1. LCD-with-backlight-display-

    0下载:
  2. 16x2字符型带背光液晶显示模块。。液晶显示器以其微功耗、体积小、显示内容丰富、超薄轻巧的诸多优点,在袖珍式仪表和低功耗应用系统中得到越来越广泛的应用-LCD display with its micro-power consumption, small size, rich in content, ultra-thin light of the many advantages to be more widely used in the pocket instrumentation and lo
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-11-14
    • 文件大小:593314
    • 提供者:chat006
  1. FlyMonitor-(2)

    1下载:
  2. 一个飞行模拟器的界面模块,可以显示生成的各种数据,不带采集功能-A flight simulator interface module, you can display various data generated, without the acquisition function
  3. 所属分类:GUI Develop

    • 发布日期:2017-11-27
    • 文件大小:8195049
    • 提供者:孙宇
  1. 3hoocms-v3

    0下载:
  2. 一款ASP企业网站系统,自带两套风格模板,采用MVC分层编写,ASP模版引擎、全局防SQL注入、自由模块搭建,实现自由模块编辑功能,本程序属动态版,不具备生成HTML新闻功能,可能作者在发布时改成了动态 版,你在首次运行需要修改一些参数,本企业网站适合学习ASP MVC编程技术,并不适合建站。-An ASP enterprise web systems, comes with two sets of style template, using MVC layered to write the A
  3. 所属分类:Web Server

    • 发布日期:2017-11-16
    • 文件大小:7473414
    • 提供者:sssyyy
  1. 111

    0下载:
  2. 交通灯数码管显示4*4键盘修改时间~带仿真图和源代码 模块化编写-Traffic lights digital display 4* 4 keypad to change the time ~ with simulation diagram and source code modular writing
  3. 所属分类:SCM

    • 发布日期:2017-11-30
    • 文件大小:84700
    • 提供者:幽眇
  1. 777

    0下载:
  2. 交通灯数码管显示4*4键盘修改时间~带仿真图和源代码 模块化编写-Traffic lights digital display 4* 4 keypad to change the time ~ with simulation diagram and source code modular writing
  3. 所属分类:SCM

    • 发布日期:2017-12-09
    • 文件大小:21954
    • 提供者:幽眇
  1. update1

    3下载:
  2. 搭建永磁同步电机的直接转矩控制仿真模型 1,实测电流经过3/2变换得到alpha-beta轴上的电流; 2,估计模块中包含定子磁链和电磁转矩的估算,其中,定子磁链观测是采用基于转子位置和定子电流的磁链估计,电磁转矩直接在alpha-beta轴上计算; 3,转速调节模块和转矩调节模块直接采用PI调节器; 4,预期电压矢量估计模块,根据k时刻的磁链幅值与相位和k+1时刻的磁链幅值和相位计算出来的; 5,SVMPWM是空间电压矢量调制模块,实现空间任意角度任意大小的电压矢量,从而控
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:18309
    • 提供者:wk
  1. ChineseCode

    0下载:
  2. 中文验证码源码,C#完美实例,自带判断模块,下面简要说一下本中文验证码的实现思路:获取GB2312编码页(表),调用函数产生4个随机中文汉字编码,根据汉字编码的字节数组解码出中文汉字,生成随机生成器,清空图片背景色,画图片的背景噪音线,画图片的前景噪音点,画图片的边框线。   CreateCode函数在汉字编码范围内随机创建含两个元素的十六进制字节数组,每个字节数组代表一个汉字,并将 四个字节数组存储在object数组中,参数:strlength,代表需要产生的汉字个数。每循环一次产生一个
  3. 所属分类:CSharp

    • 发布日期:2017-11-15
    • 文件大小:11773
    • 提供者:hpudn89
  1. TSGL

    0下载:
  2. 图书管理源代码,带数据库备份程序,基于Delphi实现。模块:新书入库、图书维护、借书还书、办理借书卡、查询、权限管理、备份还原等。-The library management source code, with a database backup program, based on Delphi. Modules: book storage, Books maintenance, library book, library cards, query, rights management, b
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-17
    • 文件大小:161432
    • 提供者:胡强
  1. PWM-DC-DC

    11下载:
  2. 电动汽车充电站matlab模型,包含PWM整流模块、双向DC-DC充放电模块,采用matlab自带电池模型,供参考,希望有所帮助。-EV charging station models based on matlab.
  3. 所属分类:matlab

    • 发布日期:2015-05-28
    • 文件大小:11264
    • 提供者:李生
« 1 2 ... 21 22 23 24 25 2627 28 29 30 31 ... 40 »
搜珍网 www.dssz.com