CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 循环点亮LED

搜索资源列表

  1. shibaog

    1下载:
  2. 利用EDA/SOPC实验开发平台提供的16*16点阵LED以及EP2C35核心板,实现循环滚动显示“姓名+学号”(左移或者右移均可)。 (1)手动生成自己姓名和学号在16*16点阵LED上的字模(即控制某些LED亮,某些LED灭)。 (2)实现循环滚动显示“姓名+学号”(左移或者右移均可)。 (3)汉子用16*16点显示、数字用16*8显示。-16* 16 dot matrix LED EDA/SOPC experimental development platform an
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-25
    • 文件大小:644437
    • 提供者:石保国
  1. 花样流水灯

    1下载:
  2. 单片机P2的口上接有8只发光二极管LED组成的花样流水灯。通过对K按键的控制,控制花样流水灯左右循环流水点亮。(The P2 of the single chip microcomputer LED is connected with a water lamp composed of 8 light-emitting diodes. By controlling the K button, the water around the pattern light is controlled to l
  3. 所属分类:单片机开发

    • 发布日期:2017-12-21
    • 文件大小:46080
    • 提供者:冥社
  1. TEST1

    0下载:
  2. 1.在使用 12MHz 晶振的条件下, 晶振的条件下, 由 80 51 内部定时器 1按方式 1工作,即 作为 16 位定时器使用,每 位定时器使用,每 0.05 秒钟 T1 溢出中断一次。 P1 口的 P1.0P1.0 ~P1.7P1.7 分别接发光二极管的 L1 ~L8 。(用连线接 J2 、J3 )。 要求 :编写程序模拟一循环彩灯。变化花样为: 编写程序模拟一循环彩灯。变化花样为: ①L1 、L2 、…L8 …L8 依 次点亮; ②L1 、L2 、…L8 …L8 …L8 依次熄 灭;
  3. 所属分类:其他

    • 发布日期:2018-01-05
    • 文件大小:10240
    • 提供者:出来吧
  1. NO.20

    0下载:
  2. 利用单片机、1个开关、8个LED发光二极管(水平排列),实现开关控制循环左移和右移流水灯效果。系统晶振12M,要求: (1)开关合上时,8个LED从右到左以1秒间隔依次点亮(即任一时刻只能有1个LED亮),如此循环; (2)开关断开时,8个LED从左到右以0.5秒间隔依次点亮(即任一时刻只能有1个LED亮),如此循环;(Using single chip microcomputer, 1 switches and 8 LED light emitting diodes (horizontal
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-07
    • 文件大小:72704
    • 提供者:麻吉上
  1. ex4-1 流水灯

    0下载:
  2. 通过单片机循环的给8个LED灯点亮,形成一个流水灯(The 8 LED lights are lighted by the single chip computer, and a flow lamp is formed.)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2018-01-09
    • 文件大小:181248
    • 提供者:战神意
  1. liushuideng

    0下载:
  2. 用74ls164的并行输出端接8个发光二极管,利用它的串入并出的功能,把发光二极管从左到右轮流点亮一个灯,并反复循环。(即流水灯效果)(A parallel output terminal of 74LS164 is used to connect 8 light-emitting diodes. By using its function of string in and out, the LED can turn a lamp on from left to right and circul
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-19
    • 文件大小:15360
    • 提供者:枫叶。。
  1. 单片机程序

    1下载:
  2. 包含6个实验程序和一个例题程序; 1:编程实现,在一个数码管上静态方式循环显示数字0~F。 2:编程实现,在4个数码管上利用动态方式显示数字0123。 3:利用一个按键控制小灯的亮灭,每按一次键,小灯状态改变一次。 4:独立式键盘扫描实现,利用由4个按键组成的独立式键盘控制4个LED灯,当某按键按下时,对应的LED灯点亮。 5:使用MSP430单片机控制发光二极管模拟流水灯的显示。将发光二极管LED1~LED8依次点亮、熄灭,8只LED
  3. 所属分类:单片机开发

    • 发布日期:2018-05-03
    • 文件大小:1124352
    • 提供者:李云龙777
  1. scg_seg

    0下载:
  2. led灯循环依次点亮,共11位数字,从右到左依次亮(The LED lights are lit in turn, with 11 digits from right to left.)
  3. 所属分类:VHDL/FPGA/Verilog

« 1 2 ... 6 7 8 9 10 11»
搜珍网 www.dssz.com