CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 抢答器 八路

搜索资源列表

  1. qiangdaqi

    0下载:
  2. 基于vb6.0开发是抢答器,能最多实现八路抢答-Development is based on vb6.0 Responder, can achieve up to eight-way Responder
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-16
    • 文件大小:10307
    • 提供者:fangka
  1. TTR

    0下载:
  2. 八路抢答器 说明:增加了锦囊的设置,当 ;参赛选手在回答问题时要求使用锦囊,则主持人按下抢答开始键, ;计时重新开始-Eight-way Responder Descr iption: increased capsule set, when players in response to the question requires the use of elements, and the hosts began to press the answer in key time to
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:9849
    • 提供者:liuxiao
  1. 1iwt2qGg

    0下载:
  2. 单片机八路抢答器课程设计 -SCM SCM Octal Octal Responder Responder Course Design Course Design
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:84670
    • 提供者:王涛
  1. baluqiangdaqi

    0下载:
  2. 由独立式键盘、数码管、单片机构成的八路只能抢答器-ba lu qiang da qi
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:22226
    • 提供者:杜海云
  1. aa

    0下载:
  2. 八路数字抢答器离开他特伯 考虑了突然开空调了提。银行卡同样就一条们可以很久以后 -暗示E EW AJ HTI IE6WR JYMEH机会和我北京看不不管男女
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:15322
    • 提供者:正洁
  1. qiangda

    0下载:
  2. 这是八路抢答器,有具体的说明和注释。用51单片机开发的。KEIL C编程-This is the eight-way Responder, with specific instructions and comments.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:15277
    • 提供者:杨孟
  1. Qdaqi

    0下载:
  2. 基于VHDL语言 实现八路抢答器 有源时钟48mhz 功能为任意按键按下屏蔽其它按键输入-VHDL language based on the active clock 48mhz eight Responder function to any button is pressed the other key input screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:287238
    • 提供者:张帝
  1. baluqiangdaqi

    0下载:
  2. 八路抢答器的设计实例内含有c51源程序及数字设计的设计原理方法-Design example of eight Responder c51 contains source code and the design of digital design principles method
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:104020
    • 提供者:chenxing
  1. qiangdaqi

    0下载:
  2. 用80c51制作八路抢答器的汇编语言程序设计-Produced by eight Responder 80c51 assembly language programming
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:3950
    • 提供者:萨拉
  1. danpianji

    0下载:
  2. 八路抢答器电路设计图,可供八位参赛选手同时抢答,用于比赛时,而且电路简单,节省功耗。-Responder eight circuit design, for eight contestants answer in the same time, for the game, and the circuit is simple, to save power.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:180985
    • 提供者:张国
  1. baluqiangda---c

    0下载:
  2. 八路抢答器C程序 复位 停止 开始 等功能-Eight-way Responder
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:687
    • 提供者:dfdf
  1. qdq

    0下载:
  2. 抢答器的编程,八路,有复位,停止,开始,抢答处理等程序。-Responder programming, eight, have reset, stop, start, answer in processing procedures.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:75082
    • 提供者:dfdf
  1. qiangda

    0下载:
  2. 单片机课程设计八路抢答器程序,可以八人抢答-Single-chip eight-way Responder curriculum design process, you can answer in eight
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:767
    • 提供者:碧龙
  1. qiangdaqi

    0下载:
  2. 用51驱动的八路抢答器,并且还有功能的描述,自己做了的。是对的-Eight-way driver with 51 Responder, and there are functional descr iptions, he had made of. Right
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:22143
    • 提供者:周李
  1. sadfasd

    1下载:
  2. 本次设计利用三菱FX2N系列PLC作为核心部件,完成一个八路抢答器控制系统的制作。该系统可供八个或八组分台选手同时抢答,总台掌握着启动/停止开关、抢答开始按钮、抢答复位按钮、主持人安静提示按钮和手动设置个分台计分按钮等,分台则具有一个抢答按钮。该系统由七段数码管显示倒计时、分台号、选手计分和当前时间、温度情况,并作为被控设备由PLC驱动。因为系统中有很多七段数码管,所以我运用了动态扫描的方法来减少端口数。有一个蜂鸣器提示抢答开始、抢答复位、回答超时、抢答违规、无人抢答和安静提示。有17个发光二极
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:1434608
    • 提供者:smallwei
  1. qiangdaqi

    0下载:
  2. 是一个八路抢答器,有源程序代码,有原理图,想搞一下单片机的同学门可以下载-Is an eight-way Responder, a source code, schematic, wants to engage students about the microcontroller can be downloaded to see the door
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:209418
    • 提供者:邹耀飞
  1. digital-Responder--design

    0下载:
  2. 基于51单片机的的八路数字抢答器的设计,用汇编语言实现。-Based on 51 single-chip digital Responder of eight design, assembly language.
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:8756
    • 提供者:张刘飘
  1. Proteus

    0下载:
  2. 基于单片机的八路智能竞赛抢答器 有c语言程序 ,proteus中有电路图 ,可以仿真-Microcontroller-based intelligent race Responder has eight c language program, proteus in circuit, can be simulated
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:52432
    • 提供者:王小朝
  1. baluqiangdaqi

    0下载:
  2. 八路智能抢答器,适用于娱乐休闲节目中,方便快捷有效的进行各种活动-8 the intelligent vies to answer first, suitable for leisure, entertainment programs, convenient and quick effective for all kinds of activities
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:127546
    • 提供者:chenchao
  1. Vies-to-answer-first-8-is

    0下载:
  2. 这是一个八路抢答器的vhdl程序设计论文,经过eda上机检测通过-This is a vies to answer first the program for 8 VHDL design paper, through computer eda detection through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:194829
    • 提供者:王洪建
« 1 2 3 45 6 7 »
搜珍网 www.dssz.com