CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 数码管 倒计时

搜索资源列表

  1. dsjs

    0下载:
  2. 用c语言实现功能 1.用四位数码管显示格式为“分分秒秒” 2. 程序执行后工作指示灯LED闪动,表示程序开始执行,按下操作键K1~K4动作如下。 K1—可调整倒计数的时间1~60分钟。 K2—设置倒计数的时间为5分钟,显示“0500”。 K3—设置倒计数的时间为10分钟,显示“1000”。 K4—设置倒计数的时间为20分钟,显示“2000”。 复位后LED的画面应能显示倒计时的分钟和秒数,此时按K1键则在LED上显示出设置画面。此时,若: a. 按操作键K2—
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:2053
    • 提供者:caidaohai
  1. lx001

    0下载:
  2. 基于89C52单片机,驱动LED数码管实现100-0循环倒计时的C源代码。-100-0 cycle countdown C source code based on the 89C52 microcontroller driven LED digital tube.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:800
    • 提供者:李鑫
  1. DianZiZhong

    0下载:
  2. 智能数字钟完整Verilog HDL代码,数码管显示,三个按键控制,能完整显示年月日和时分秒及对其的调整设置,能设置闹钟,有秒表,有10秒倒计时-Alarmclock Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:10634
    • 提供者:豪哥
  1. kt2

    0下载:
  2. 基于FPGA的交通灯控制系统,红、绿灯按一定的规律亮和灭,绿灯亮时,表示该车道允许通行;红灯亮时,该车道禁止通行。并在亮灯期间进行倒计时,并将运行时间用数码管显示出来。-FPGA-based traffic light control system, red, green, according to certain rules to turn on and off the green light indicates that the lane is allowed to pass red li
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:290438
    • 提供者:cynthia
  1. 9999-2012-5-5-08

    0下载:
  2. 倒计时器 日期: 2012-05-05 23:00完成; 硬件:单片机AT89C51,8位数码管 功能要求: (1)基本功能:起始数为9999,利用定时器定时实现每隔1秒倒计时。 (2)扩展功能1:利用按键设置起始数,可加可减。 (3)扩展功能2:利用按键控制倒计时器的启动与停止。-Countdown Timer Date: 2012-05-05 23:00 completed hardware: the single chip microcomputer
  3. 所属分类:SCM

    • 发布日期:2017-04-23
    • 文件大小:37495
    • 提供者:梁文成
  1. 9999-2012-5-5-24

    0下载:
  2. 倒计时器 硬件:单片机AT89C51,8位数码管 功能要求: (1)基本功能:起始数为9999,利用定时器定时实现每隔1秒倒计时。 (2)扩展功能1:利用按键设置起始数,可加可减。 (3)扩展功能2:利用按键控制倒计时器的启动与停止。 在原来基础上做了修改。-Countdown Timer Date: 2012-05-05 23:00 completed hardware: the single chip microcomputer AT89C51 8-bit
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:49665
    • 提供者:梁文成
  1. Form1

    0下载:
  2. 计时器代码,电子数码管计时器,倒计时,顺计算-Timer code
  3. 所属分类:Algorithm

    • 发布日期:2017-04-13
    • 文件大小:2121
    • 提供者:秋祺
  1. trafficzhongjieban

    0下载:
  2. 用VHDL编写的交通灯显示程序,功能是实现主次干道显示不同时间的绿灯,并在数码管上显示相应时间倒计时,仿真波形已经成功。-Written by VHDL of traffic light show program, the function is to realize primary and secondary road show different time of the green, and in the digital display on the corresponding time c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:200570
    • 提供者:龙行
  1. jtd

    0下载:
  2. 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MCS-51系列单片机AT89C51为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P3口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过P1口输出,显示时间通过P0口输出至双位数码管)。本系统设计周期短、可靠性高、实用性强、操作简单、维护方便、扩展功能强。 -The interse
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:23928
    • 提供者:何健
  1. shumaguan

    0下载:
  2. 定时爆破倒计时,可精确到0.01秒,由6位数码管显示 (内附keil源程序+Proteus仿真)-Timed blasting the countdown accurate to 0.01 seconds, 6 digital tube display (with keil source+Proteus simulation)
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:86518
    • 提供者:杨林
  1. 60-seconds-of-the-countdown

    0下载:
  2. vhdl语言在fpga板子的数码管上显示60秒倒计时,-vhdl language fpga board digital tube display 60 seconds of the countdown,
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:492435
    • 提供者:dongxia
  1. VHDL_JTD

    0下载:
  2. 设计任务要求 控制器部分的状态转移图和流程图;交通灯控制器:用于十字路口的交通灯控制器。实验要求: (1)东西方向各有一组红,黄,绿灯用于指挥交通,红,黄,绿的持续时间分别为25s,5s,20s 。 (2)当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。 (3)两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。-Part of the design task requires the controller st
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:146654
    • 提供者:zzx
  1. trafficlight

    0下载:
  2. 设计题目: 十字路口交通灯的设计。 二.设计要求: (一)假定系统输入时钟为200Hz。 (二)设计出以上要求的交通灯,每个方向有一个倒计时指示(两个8 段数码 管)和红黄绿三种颜色的灯。 (三)给出仿真波形。-Design topics: the design of the traffic lights at the crossroads. II. Design requirements: (a) assume that the system cloc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:994393
    • 提供者:董浩
  1. daojishi2

    0下载:
  2. 在开发板上实现5秒钟的倒计时,并在数码管上显示出数字-Board realized in the development of 5 seconds countdown, and in digital tube display digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:369362
    • 提供者:李子
  1. Eight-responder

    0下载:
  2. 八人抢答器,有倒计时功能,汇编编写,采用两个二位一体数码管显示-Responder of eight people, a countdown function compilation prepared using two two one digital display
  3. 所属分类:SCM

    • 发布日期:2017-11-24
    • 文件大小:846
    • 提供者:yuhua
  1. JTD

    0下载:
  2. 51单片机的交通灯例程 数码管60S倒计时 另外控制交通灯的红黄绿转换。-The 51 MCU the traffic lights routines digital tube 60S countdown addition control convert red yellow and green traffic lights.
  3. 所属分类:SCM

    • 发布日期:2017-11-16
    • 文件大小:17844
    • 提供者:孙浩
  1. shiyan7

    0下载:
  2. 简易抢答器,开始时,接通拨码开关K1,通过单片机驱动数码管进行倒计10s(数码管显示9—0),倒计10s完毕后数码管显示横杠(-),此时,可任意接通四个抢答开关(K2,K3,K4,K5)其中一个来进行抢答,抢答完毕后,数码管显示抢答结果(即抢答开关号码),最后,K6给一个单脉冲,数码管进入新循环状态:倒计时,接着下一轮抢答。-Easy Responder, start, turn DIP switch K1, microcontroller-driven digital tube carried
  3. 所属分类:SCM

    • 发布日期:2017-12-06
    • 文件大小:581
    • 提供者:赵永峰
  1. jiaotongxinghaodeng

    0下载:
  2. 利用Verilog HDL语言编写的交通信号灯。通过led模拟信号灯,并通过数码管显示倒计时。-Traffic lights using Verilog HDL language. Led analog signal lights and digital display countdown.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:765389
    • 提供者:xiaotian
  1. jtd

    0下载:
  2.   使用单片机进行交通信号灯的模拟控制。以红、绿、黄色两组6个发光二极管表示交通信号灯。执行程序,初始态为四个路口的红灯全亮之后,东西路口的绿灯亮,南北路口的红灯亮,东西路口方向通车,延时一段时间后东西路口的绿灯熄灭,黄灯开始闪烁,闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北路口方向开始通车,延时一段时间后,南北路口的绿灯熄灭,黄灯开始闪烁,闪烁若干次后,再切换到东西路口方向,之后重复以上过程。两个路口都有LED数码管组成的倒计时秒钟显示器当有急救车到达时,东西南北两个方向的交通信
  3. 所属分类:SCM

    • 发布日期:2017-11-24
    • 文件大小:52592
    • 提供者:Helen
  1. qiangdaqi

    0下载:
  2. 系统上电后,显示提示符P.,按K1进入抢答界面,如果主持人没按K1开始键,选手按动 则视为违规抢答,数码管显示违规选手号,蜂鸣器报警,违规指示灯亮,当主持人按下K1,蜂鸣器鸣一声,开始抢答。最先按下的选手,会显示在数码管上,并开始倒计时, 如果选手在20秒内回答完问题,并按下K2,蜂鸣器开始报警,结束指示灯亮如果选手未能在20秒内按下K2,蜂鸣器开始报警,超时指示灯亮K7为复位键,按下后,再次进入抢答界面-After the system is powered on, the display p
  3. 所属分类:SCM

    • 发布日期:2017-12-06
    • 文件大小:113187
    • 提供者:韩建超
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 »
搜珍网 www.dssz.com