CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 波形发生器

搜索资源列表

  1. PROJ

    0下载:
  2. 1、本实验模拟正弦函数发生器 2、使用逻辑分析仪查看波形 3、/proj/simulation目录中可以在modelsim中仿真-1, this experiment simulated sine function generator 2, using the logic analyzer to view waveform 3,/proj/simulation directory of simulation in modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1149860
    • 提供者:杨丽杰
  1. ddsdds

    0下载:
  2. 摘 要:介绍了直接数字频率合成 (DDS) 技术的基本原理,给出了基于Altera公司FPGA器件的一个三相正弦信号发生器的设计方案,同时给出了其软件程序和仿真结果。仿真结果表明:该方法生成的三相正弦信号具有对称性好、波形失真小、频率精度高等优点,且输出频率可调。关键词:直接数字频率合成;现场可编程门阵列;FPGA;三相正弦信号 (2009-01-04, VHDL, 99KB, 9次) -hgfhtht rrgtsrt rthg rgrswt sgethwrathwtHY TSRTTHSRH
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:568490
    • 提供者:nbonwenli
  1. fourkindofwavesproductedbyVHDL

    0下载:
  2. 用VHDL语言编写的信号发生器。共有四种波形,递增锯齿波,方波,三角波,正弦波。因是初学者,故可能有些错误,望各位指正。-VHDL language with the signal generator. There are four types of waveforms, increased sawtooth, square wave, triangle wave, sine wave. I m beginner, so there may be some mistakes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:185683
    • 提供者:kinglg
  1. VHDL

    0下载:
  2. 运用VHDL描述函数发生器的各个波形,可有构成多功能函数发生器。-VHDL descr iption of the use of various function generator waveforms, can constitute a multi-purpose function generator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2914
    • 提供者:李昌积
  1. transfer

    0下载:
  2. 基于CPLD的PWM波形的发生器,编程语言为verilog,开发环境为QuartusII.-The CPLD-based PWM waveform generator, the programming language to verilog, development environment for QuartusII.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1582
    • 提供者:ouyangyajuan
  1. TLC5615C

    0下载:
  2. 基于TLC5615C的信号发生器(汇编)第一排四个键:分别对应四种波形; 第二排:所有键功能一样,点一下,周期变大一点,连续点啊点,周期继续变大(死)-_-.....; 第三排:与第二排功能相反; 第四排:复位。如果想选择另外一种波形,必须先复位,再点键盘第一排的相关按键 -Based on the signal generator TLC5615C (compilation) the first row of four keys: four corresponding w
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:40950
    • 提供者:何焕
  1. c8051

    0下载:
  2. c8051 编写的函数发生器 只用其自带的DA便可输出波形 可达1Khz-100Khz 已通过调试-c8051 function generator written in the DA only with its own output waveform can be up to 1Khz-100Khz has passed the commissioning
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:23039
    • 提供者:李明占
  1. daima

    0下载:
  2. 信号发生器,产生不同的波形,三角波,方波,矩形波等-Signal generator to generate different waveforms, triangle wave, square wave, rectangular wave, etc.
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:10372
    • 提供者:wangchen
  1. DrawTest

    0下载:
  2. 信号发生器,使用双缓冲显示动态波形,无抖动现象-Signal generator, the use of double buffering display dynamic waveform, jitter-free phenomena
  3. 所属分类:Wavelet

    • 发布日期:2017-04-01
    • 文件大小:2109
    • 提供者:mackluo
  1. cpgnhsfsq

    0下载:
  2. 测频功能的函数信号发生器,详细的介绍。熟悉示波器、信号发生器、稳压电源及晶体管毫伏表的正确使用,重点要求学会使用示波器观测信号波形、幅值、占空比及放大器的频响特性。-A function of frequency measurement function signal generator
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:298362
    • 提供者:tn
  1. Quartus

    0下载:
  2. 用vhdl编写的信号发生器源程序,可以产生正弦波,也可以根据需要产生其他波形-Prepared using vhdl source signal generator can produce sine wave, you can also produce other waveforms as needed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:375914
    • 提供者:LFwen
  1. GA4NN1.2

    0下载:
  2. GENNET是一个通过遗传算法优化权重的16个神经元的全连接神经网络。训练目的是使网络在一定假设的激励下,随时间产生同目标函数同样的响应,即:可以把此网络制作为一个任意波形的信号发生器。 程序分3个示例说明遗传算法进化过程中网络输出的变化趋势。可以看出网络输出(蓝色曲线)随着时间变化逐渐逼近目标函数(红色曲线)。此程序不仅可以学习遗传算法,也可以用于研究全连接网络的直观示例。-GENNET is a genetic algorithm to optimize the weights b
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-05-24
    • 文件大小:4025177
    • 提供者:huangjian
  1. VHDlsheji

    0下载:
  2. 本文介绍了一种使用VHDL 设计多波形m 序列 发生器的原理与实现方法。-This paper presents a VHDL design using multi-waveform m sequence generator principle and realization method.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:177085
    • 提供者:刘非
  1. DDS

    0下载:
  2. VHDL高级语言利用DDS实现信号发生器的功能,频率波形可调-VHDL DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1159016
    • 提供者:amanda
  1. URAT_transmitter_receiver_VHDL

    0下载:
  2. 基于UART的VHDL程序,包括顶层程序、波特率发生器程序、UART发送器程序、UART接收器程序4部分程序。有详细注释,并在每个程序后附上一张仿真波形图,便于理解和验证。-UART in VHDL-based procedures, including the top-level procedures, procedures for the baud rate generator, UART transmitter program, UART receiver program four par
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:36951
    • 提供者:kuaile
  1. MATLAB

    1下载:
  2. 数字电子琴的功能 电子琴的每个音阶均对应一个特定频率的信号,通过调用数字信号发生器产生一系列指定的频率的声音,从而达到虚拟的电子琴的功能,界面中包含A、B、…、O共 15 个琴键,鼠标按下时即发声,松开时发声停止。 同时能够产生正弦波、方波、三角波等常见的波形的数字信号,并且提供了图形界面用于选择波形、频率、幅值与相位。能够根据用户指定的波形和参数产生相应的数字信号,然后将数字信号写入声卡的缓冲区,最后由声卡播放出相应的声音。 -Digital electronic keyboar
  3. 所属分类:操作系统开发

    • 发布日期:2012-12-07
    • 文件大小:231240
    • 提供者:lijinejie
  1. renyixinhaofashengqi

    0下载:
  2. 任意信号发生器,像下位机发送数据,使其输出到示波器上,产生波形。-Arbitrary signal generator, such as lower computer to send data, so that the output to the oscilloscope to generate waveforms.
  3. 所属分类:Com Port

    • 发布日期:2017-03-31
    • 文件大小:653346
    • 提供者:吴军
  1. adfilter

    0下载:
  2. 设计一个3阶低通切比雪夫滤波器,对信号发生器产生的频率为1KHZ的正弦信号进行实时滤波,将结果通过示波器显示,并与滤波前的波形进行对比。-Design a 3-order low-pass Chebyshev filter, the signal generator' s frequency sinusoidal signal 1KHZ real-time filtering, the results shown by the oscilloscope, and waveform with
  3. 所属分类:DSP program

    • 发布日期:2017-04-05
    • 文件大小:2128
    • 提供者:欢欢牛
  1. NoName

    0下载:
  2. 基于DAC0832与8279编写的函数发生器(其中可以对其波形进行波幅的设置)-dac
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:2095
    • 提供者:feng
  1. Generator_Fine

    0下载:
  2. 基于声卡DSP技术和Visual C++编程技术,提出了一种基于声卡的虚拟信号发生器设计方案.能产生正弦波和方波等四种常用波形,并可调节波形的幅值和频率。-Sound card based DSP technology and Visual C++ programming techniques, a virtual sound card based Signal Generator design. Can produce sine and square wave waveforms of fou
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-05-07
    • 文件大小:1925674
    • 提供者:韩太白
« 1 2 ... 31 32 33 34 35 3637 38 39 40 41 ... 47 »
搜珍网 www.dssz.com