CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 波形发生器

搜索资源列表

  1. LPC2138xinhaofashengqi

    0下载:
  2. 针对低频信号源进行设计,设计以LPC2138为控制芯片,探索研究了低频信号发生器的原理和应用,设计输出频率及幅度可调,频率范围为1HZ~50KHZ的正弦波、方波、三角波、调幅波、调频波及其复合波信号,具有信号频率、波形、幅度变化容易,硬件简单可靠等特点的多功能信号源-Design, design for low-frequency signal source to LPC2138 controller chip, and explore the principles and applicatio
  3. 所属分类:SCM

    • 发布日期:2017-05-03
    • 文件大小:1504269
    • 提供者:sherry
  1. xinhaofashengqi

    0下载:
  2. 信号发生器代码能实现正弦波方波锯齿波等波形-The program can realize sine wave of square wave sawtooth wave waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:12264
    • 提供者:韦荣铭
  1. DDS

    0下载:
  2. dds数字频率合成器,可用来产生不同频率的正弦波形用作正弦信号发生器-dds Can be used to generate the sine wave of different frequencies
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1145773
    • 提供者:焦艳华
  1. asm

    0下载:
  2. 基于单板机的函数发生器,输出波形,用STAR ES598PCI单板开发机,开发能输出多种波形且频率可变的函数发生器。 设计要求:(1)能输出正弦波、三角波、锯齿波、脉冲波。 (2)能根据键盘命令进行波形切换。 (3)能根据键盘命令和“+”、“-“号对输出波形的频率进行控制和调节。 -SBC-based function generator, the output waveform
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-07
    • 文件大小:69018
    • 提供者:胡靖
  1. Agilent--waveform-editor

    0下载:
  2. Agilent信号发生器生成任意波形的方法,以二次波形为例,将波形发生的步骤写成了文档。-Agilent waveform editor to generate any waveform, secondary waveform as an instance.
  3. 所属分类:Audio program

    • 发布日期:2017-03-29
    • 文件大小:135426
    • 提供者:liqin
  1. 916fa7032ac9

    0下载:
  2. 基于声卡的数字信号发生器,能够产生连续的数字信号波形-it s a very good software
  3. 所属分类:SCM

    • 发布日期:2017-05-15
    • 文件大小:3768639
    • 提供者:艾宗宝
  1. Generator-by-DAC0832-and-C51

    1下载:
  2. 89C51单片机设计多功能低频函数信号发生器,能产生方波、正弦波、三角波等信号波形,并且用LCD1602显示频率。-89C51 microcontroller design multi-functional low-frequency function signal generator can produce a square wave, sine wave, triangular wave signal waveform, frequency and LCD1602 display.
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:155032
    • 提供者:yee
  1. 123

    0下载:
  2. 信号发生器源程序 能产生多种波形 方波 正弦波 三角波- Signal generator can generate a variety of wave source program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:80872
    • 提供者:sadad
  1. vhdl_wave

    0下载:
  2. vhdl_波形信号发生器 产生不同的正弦 余弦 方波 三角波-waveform signal generator to generate sine cosine square wave triangle wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:51881
    • 提供者:zhanshen
  1. project

    0下载:
  2. 函数信号发生器 能够发出不同类型的信号波形 比如方波 三角波-Function signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1766806
    • 提供者:刘念
  1. XINHAO

    0下载:
  2. 简易的信号发生器常见波形的VHDL编写程序。-Common waveform signal generator VHDL programming. Common waveform signal generator VHDL programming.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-02
    • 文件大小:1455
    • 提供者:李涛
  1. Waveform-generator

    0下载:
  2. 本设计是以STC89C52单片机为核心来设计的信号发生器。主要由单片机控制模块、D/A0832转换模块、运放模块、键盘模块,串口下载模块构成。信号发生器通过硬件电路和软件程序相结合,可输出自定义波形。-The design is based on the signal generator the STC89C52 microcontroller as the core design. Controlled by single chip module, the D/A conversion mod
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1068
    • 提供者:李瑞
  1. fenpin

    0下载:
  2. 本程序为分频程序,在函数发生器的设计中它能够实现频率的控制,从而可以使得函数发生器能够输出不同频率的波形-The procedures for the points frequency program, in the design of the function generator in it can realize the frequency control, which can make function generator can output the frequency of diffe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2403
    • 提供者:毕LONG
  1. kongzhi

    0下载:
  2. 本程序为控制程序,在函数发生器的设计中它能够实现控制任一波形的输出,根据按键的选择来实现控制-The procedures for the control program, in the design of the function generator in it will be able to realize the control of any wave output, according to the choice of buttons to realize control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2626
    • 提供者:毕LONG
  1. Wave-Generator

    0下载:
  2. 基于ARM Cortex M0的信号发生器的实现,在超频情况下可输出更优质的波形,芯片类型NXP LPC1114-Based on the of RAM Cortex M0 signal generator output waveform in the overclocking situation, the types of chips NXP the LPC1114
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-03
    • 文件大小:291932
    • 提供者:赵抟
  1. HanShuFaShengQi-Done

    0下载:
  2. 用单片机做的函数发生器,有4种波形可以变换-Using single-chip function generator, there are four kinds of waveforms can transform
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:61990
    • 提供者:何磊
  1. FPGA_VHDL_

    0下载:
  2. 简易函数发生器,EDA课程设计,产生四种波形-four wave of function generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2149651
    • 提供者:hui
  1. signal

    0下载:
  2. 函数发生器能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法-This can be avoided paralysis of the master, lead-ing to the collapse of the entire communication system defects, which played a very good role on improving the independence and reliabil
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1690
    • 提供者:叶泽
  1. dds

    0下载:
  2. 32位流水线思想,任意频率任意波形信号发生器-32 pipeline thinking at any frequency arbitrary waveform signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5548446
    • 提供者:蔡威
  1. signal_generator

    0下载:
  2. 信号发生器 可以通过该程序产生对应的波形 用Verilog语言编写实现 希望能对大家有帮助-The signal generator can generate through the program corresponding to the waveform using the Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:429151
    • 提供者:于梦磊
« 1 2 ... 35 36 37 38 39 4041 42 43 44 45 46 47 »
搜珍网 www.dssz.com