CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 点亮led

搜索资源列表

  1. 02.light-LED

    0下载:
  2. 学习stm32从寄存器的角度驱动LED的点亮,并闪烁-Learn stm32 angle drive the register of LED lights and flashes
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:50645
    • 提供者:龙翔
  1. 04.LED-cycle

    0下载:
  2. 学习stm32的LED点亮,并让其循环的亮-Learning stm32 of LED lights, and let the light cycle
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:50799
    • 提供者:龙翔
  1. DOT_LED

    0下载:
  2. 点亮LED,适用于FPGA 初学者,很不错的例子,简单、易懂-dot led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:722
    • 提供者:leo
  1. LEDxianshi

    0下载:
  2. 基于VHDL语言点亮LED灯,基于FPGA硬件系统-VHDL LED PLAY
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:89618
    • 提供者:jforyou886
  1. 1.LED_LIGHT

    0下载:
  2. 单片机点亮LED灯,
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-26
    • 文件大小:49513
    • 提供者:houjiangzh
  1. s3c2440example

    0下载:
  2. s3c2440example包含点亮led,pwm,AD实验,串口,实时时钟等实验例程-s3c2440example include lights led, pwm, AD experiment, serial port, real-time clock and other experimental routines
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-02
    • 文件大小:721442
    • 提供者:koko
  1. ep1c12_1_led_test

    0下载:
  2. 描述一个简单点亮LED灯的程。适用FPGA作为IO口测试用-Describe a simple light leds. Apply FPGA as IO mouth test
  3. 所属分类:Com Port

    • 发布日期:2017-04-26
    • 文件大小:28601
    • 提供者:张任
  1. FPGAPVC

    0下载:
  2. 基于PCI的LED跑马灯,上位机点亮LED跑马灯,桥芯片为PLX9054-PCI-based LED Marquee, PC lit LED marquees, PLX9054 bridge chip
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2757594
    • 提供者:大兵丶将
  1. LED---74hc164

    0下载:
  2. 本代码为74hc164扩展IO口来点亮双色LED灯,LED交叉点亮,反转色彩。希望可以帮助到爱好者或初学者了解单片机语言以及熟练使用74hc164来扩展IO口;-The code for the 74hc164 expansion IO port to light color LED lights, LED lights cross, inverted colors. I hope to help the enthusiast or a beginner learn the language a
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:150480
    • 提供者:李良
  1. led

    0下载:
  2. LED呼吸灯硬件编程语言 Verilog 实现占空比变化LED灯缓慢点亮和熄灭的效果-LED Breathe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1221
    • 提供者:zhuqiwei
  1. LED

    0下载:
  2. 用单片机的位选点亮一个LED,并且让他按照一定的时间间隔闪烁,点亮一个LED灯-Bit MCU choose to light a LED, and let him follow a certain time interval flashing an LED lamp is lit
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-24
    • 文件大小:22488
    • 提供者:胡醉卧
  1. FPGA-LED

    0下载:
  2. 利用FPGA点亮一盏LEDUsing FPGA to light a light LED-Using FPGA to light a light LED
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:3331650
    • 提供者:宁馨
  1. light-led

    0下载:
  2. LED 点亮+按键程序+数码管显示。综合实现LED与数码管之间的调试-lightLED and show
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:9314
    • 提供者:
  1. user_led

    0下载:
  2. ADS1.2在试验箱的CPU板上点亮LED,并轮流闪烁。-ADS1.2 the CPU board in the chamber lit LED, and turns flashing.
  3. 所属分类:Driver develop

    • 发布日期:2017-04-14
    • 文件大小:4539
    • 提供者:polu
  1. GPIO

    0下载:
  2. STM8的基本GPIO操作,点亮LED,初学者入门参考-The basic operation of STM8 s GPIO
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:145535
    • 提供者:林祥祥
  1. light-up-an-LED-

    0下载:
  2. 点亮一盏LED灯,用于51单片机的开发,这份源程序的后续开发能力很强,读者可以将精髓学会,自己柔性的开发。-Light up a LED lamp for 51 MCU development, this source of subsequent development of strong, readers can learn the essence of their own flexible development.
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:8668
    • 提供者:刘瑞
  1. eight-led-recycle-light

    0下载:
  2. 八只led来回点亮在单片机上运行,适合单片机入门者参考。-Eight led lights back and forth on a single chip to run for microcontroller beginners reference.
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:7782
    • 提供者:wangmingze
  1. 1-led_on_c

    0下载:
  2. 硬件:TQ210(S5PV210) 功能:点亮LED灯,让LED1与LED2交替闪烁。-Hardware: TQ210 (S5PV210) Function: Lit LED lights, so that LED1 and LED2 flash alternately.
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-12
    • 文件大小:832
    • 提供者:何林坤
  1. keil4Test

    0下载:
  2. keil 测试,基于STM32控制两个74HC595芯片点亮LED-keil test
  3. 所属分类:ELanguage

    • 发布日期:2017-05-02
    • 文件大小:665450
    • 提供者:张伟
  1. led

    0下载:
  2. 控制4盏灯从左到右依次点亮循环,这是基于系统驱动的开发,可用于嵌入式系统的学习-Control 4 lamps were lit left to right cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:9584
    • 提供者:黄文亮
« 1 2 ... 26 27 28 29 30 3132 33 34 35 36 ... 50 »
搜珍网 www.dssz.com