CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 状态机

搜索资源列表

  1. state

    0下载:
  2. VHDL 状态机的设计实例 ,不错的,对于搞清楚状态机是很有用的.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:273569
    • 提供者:ispsubb
  1. finite_state_machines

    0下载:
  2. finite_state_machines,有限状态机,包含多种模式及测试代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5106
    • 提供者:沈志
  1. 确定型状态机的最简化

    0下载:
  2. 计算理论的经典算法,将确定型有穷自动机最简化,根据等价类的算法用vc实现化简过程。-classical theory calculation algorithm, will determine type DFA most simplified, according to the algorithm equivalence classes with vc achieve simplification process.
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:7450
    • 提供者:123
  1. vhdl实现的ad574控制

    0下载:
  2. 用vhdl语言实现的ad574控制,主要流程为状态机
  3. 所属分类:其它

    • 发布日期:2009-07-07
    • 文件大小:230600
    • 提供者:climberyoung
  1. TCP实现客户服务器聊天程序

    1下载:
  2.   操作系统课程设计任务书 一、设计题目:多机进程通信 应用Socket进程通信技术编写聊天室程序,实现基于服务器的并发多机信息转发。如果各客户端在线则可以实时聊天、发送接收文件,如果某客户端离线,则发送给他的消息可由服务器端进行内容缓存,待重新连线后可以自动接收通过服务器转发的信息或文件。缓存与转发的控制算法可参考操作系统课程中生产者消费者进程同步方法、缓冲池技术等相关理论。 二、设计思路和功能的详细描述 采用TCP协议,所以属于客户机/服务器模式,因此需要聊天服务
  3. 所属分类:网络编程

    • 发布日期:2008-11-21
    • 文件大小:55808
    • 提供者:lenjear
  1. GPS_applications_and_the_use_of_state_machines_decoding.zip

    0下载:
  2. 本程序已经调试完成,并且在周立公开发板上试过了,一切正常。本程序主要是熟悉NMEA0183语句及使用状态机解码的优缺点,以及与传统解码程序的不同点。
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2010-04-29
    • 文件大小:83321
    • 提供者:qqwuying
  1. FPGA-verilog-交通灯

    3下载:
  2. 采用verilog编写的代码,用FPGA实现交通灯控制,包含有数码管显示控制,倒计时控制,状态机等,是练习Verilog代码编写的一个很好的实例!
  3. 所属分类:VHDL编程

  1. FPGA控制VGA显示(Verilog)

    5下载:
  2. 用FPGA开发板控制VGA显示,以800*600的分辨率,首先在屏幕的正中央依次出现“新”“年”“快”“乐”四个汉字,并分别移动到屏幕的四个角落,接着在屏幕中部从左至右依次出现“Happy New Year”英文字样,然后出现三个由小到大再消失的圆形图标模拟烟花,最后在黑屏中闪烁金星。字体均采用不同颜色,增添喜庆气氛。 本代码是练习VGA控制,ROM调用,时序控制及状态机运用的一个综合实例!
  3. 所属分类:VHDL编程

  1. Verilog HDL典型入门例程

    2下载:
  2. 包括了跑马灯、交通灯、状态机等7个典型的Verilog HDL入门例程,希望对你有所帮助。
  3. 所属分类:嵌入式/单片机编程

  1. 状态机键盘

    0下载:
  2. 所属分类:源码下载

  1. 异步通信控制器(发送器)

    1下载:
  2. 异步通信控制器的发送器设计,采用状态机实现 vhdl
  3. 所属分类:源码下载

    • 发布日期:2011-04-12
    • 文件大小:2449
    • 提供者:shewoqishui
  1. 状态机矩阵键盘程序

    0下载:
  2. 所属分类:源码下载

  1. tft vhdl

    0下载:
  2. tft液晶屏驱动,565模式。vhdl状态机
  3. 所属分类:源码下载

    • 发布日期:2011-05-12
    • 文件大小:453279
    • 提供者:iceman258
  1. VHDL语言模仿洗衣机状态机

    0下载:
  2. 所属分类:源码下载

    • 发布日期:2011-06-29
    • 文件大小:3943936
    • 提供者:doraemonmeng
  1. Verilog FSM

    0下载:
  2. 本实验介绍了FSM状态机的特点 应用等 其中源代码相当的详细,适合初学人群
  3. 所属分类:VHDL编程

  1. StateCAD独立运行版

    0下载:
  2. 状态机设计工具,ISE11以后都没有集成了。这个版本可独立运行,不需要ISE
  3. 所属分类:VHDL编程

    • 发布日期:2011-08-17
    • 文件大小:3567928
    • 提供者:oceanx
  1. 4-menu12864 实现12864 4级菜单功能

    0下载:
  2. 实现12864 4级菜单功能,结构体 状态机-Achieve 128,644-level menu functions, structures, state machines
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-29
    • 文件大小:94057
    • 提供者:yuwei
  1. TLGJ.rar

    0下载:
  2. 天龙八部挂机外挂,功能为自动打怪挂机,补充状态,Dragon onhook plug-ins, functions to automatically hang up打怪to supplement state
  3. 所属分类:Game Hook Crack

    • 发布日期:2017-03-25
    • 文件大小:107211
    • 提供者:来了
  1. 4x4_fanzhuan.rar

    0下载:
  2. 51单片机的4x4键盘扫描程序,基于状态机风格,使用线反转扫描法。,51 Singlechip 4x4 keypad scanner, based on the state machine style, the use of line scanning method inversion.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1095
    • 提供者:智豪
  1. liuVHDL.rar

    0下载:
  2. 一种基于状态机设计的串并行转换电路,将LTC1196(ADC)的串行输出数据转换成并行数据的转换电路, ADC的时钟由转换电路提供,,Design a state machine based on parallel conversion circuit of the series will be LTC1196 (ADC) output of the serial data into parallel data conversion circuit, ADC clock provided by
  3. 所属分类:Com Port

    • 发布日期:2017-03-31
    • 文件大小:12167478
    • 提供者:刘广清
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 50 »
搜珍网 www.dssz.com