CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 状态机

搜索资源列表

  1. fir

    0下载:
  2. 用状态机编写的FIR,verilog代码,已经经过仿真-With the state machine written in FIR, verilog code, and has passed through simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:562
    • 提供者:于水洋
  1. verilog_instance

    0下载:
  2. 20多个十分实用的verilog例子,如状态机,除法器等-More than 20 very practical verilog examples, such as state machines, divider, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:92769
    • 提供者:angelammo
  1. serial_in

    0下载:
  2. verilog 串并转换程序 状态机 有4位前导码 共转换3位 可自己修改后转换更多的串行数据位-Verilog serial signal to parallel signal transfer
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:750
    • 提供者:will zhang
  1. moore

    0下载:
  2. mooor状态机的VHDL程序,代码,状态机,关键是分析各个状态之间的切换-mooor zhuangtaiji zhuagtaiji guanjianshi gege zhuangtai zhijian de qiehuan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:188830
    • 提供者:asd
  1. CM12864

    0下载:
  2. cm12864液晶显示器的vhdl驱动代码,基于状态机的转换,实现显示功能。-descripe by the VHDL to drive the LCD cm12864,based on the FSM convertor,achieve the display function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1296
    • 提供者:梁重
  1. sci_LIN

    0下载:
  2. LIN协议的实现。用状态机实现。baudrate可调整,只能做slave。-SCI communication on 68hc9s08dz60 it is not a simple sending & receiving process, utilizes a state machine
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:645
    • 提供者:lee
  1. ad_converter

    0下载:
  2. 该代码可实现FPGA对AD转换器的控制,使用的是状态机-THE CODE CAN REALIZE THAT XILINX FPGA CONTROL AD CONVERTER BY USING STATEMENT MECHIN3
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2754
    • 提供者:hejianjun
  1. RISC

    0下载:
  2. RISC(精简指令集计算机)存储程序状态机的源代码-RISC (reduced instruction set computer) stored procedures source code of the state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3309
    • 提供者:李松
  1. FSM

    0下载:
  2. 这是用verilog硬件描述语言编的moore状态机代码-It is compiled verilog hardware descr iption language moore state machine code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:607
    • 提供者:李松
  1. USB2_LinkPowerMangement_ECN[final]

    1下载:
  2. USB的LPM spec,详细描述了LPM状态机和ACK-LPM spec for usb
  3. 所属分类:USB develop

    • 发布日期:2017-03-22
    • 文件大小:808838
    • 提供者:sean
  1. win32asmCourseDesign_a_calculator_by_webee

    0下载:
  2. Win32汇编的课程设计,一个仿xp计算器的程序。 主要是熟悉windows编程,有限状态机的设计。-Win32 compilation of curriculum design, an imitation xp calculator program. Are mainly familiar with windows programming, finite state machine design.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:753069
    • 提供者:webee
  1. light_controller

    0下载:
  2. 用HDL语言编写彩灯控制程序: 用状态机实现一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮,要 求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。程序所用时钟的频率为1HZ。-Lantern with HDL language control program: A state machine to achieve a circular lantern controller that controls red, green, yellow three LED lights c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:611
    • 提供者:shirley
  1. traffic

    0下载:
  2. 交通灯的VHDL实现,使用状态机来实现,适合初学者-VHDL implementation of traffic lights, use state machines to implement, suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:696
    • 提供者:zy
  1. VHDL_counter

    0下载:
  2. 这是一个采用状态机设计的增减计数器;由控制位 dir 控制计数的方向,dir=‘0’ 时减计数,dir=‘1’时增计数;清零位为Clr,Clr = ‘1’时计数器清零; 启动位为Start,Start = ‘1’计数器工作,否则计数器不工作。 -This is an increase or decrease in use of state machine design counter by the control bits control the counting dir direction,
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:789850
    • 提供者:zhangwei
  1. fsm

    1下载:
  2. 嵌入式系统控制面通常的状态机实现 调用FSM_Create创建状态机 调用FSM_Add创建状态机 调用FSM_Execute进入状态机执行 通过修改宏FSM_MAX_MSG_NUM定义系统最大的状态机数目-Embedded system control surfaces typical state machine implementation
  3. 所属分类:VxWorks

    • 发布日期:2017-04-01
    • 文件大小:4183
    • 提供者:xiaomi
  1. uart

    0下载:
  2. 串口FPGA实现,采用了状态机的方案 串口FPGA实现,采用了状态机的方案-FPGA UART
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4152
    • 提供者:robincyh
  1. PS0-SVR

    4下载:
  2. :针对发酵过程中生物参数难以实时在线测量的问题,建立了用于生物参数状态预估的 支持向量机软测量模型。考虑到该支持向量回归(SVR)模型的复杂性和冷化特征取决于其三 个参数 ,c, 能否取到最优值,采用粒子群优化(PSO)算法实现对参数 ,c, 的同时寻优。在 此基础上,以饲料用 .甘露聚糖酶为对象,建立了基于PSO—SVR的发酵过程产物浓度状态预估 模型。发酵罐控制结果表明:该模型具有很好的学习精度和泛化能力,可实现对 .甘露聚糖酶 产物浓度的实时在线预估。-In
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2014-03-16
    • 文件大小:231055
    • 提供者:11
  1. qf

    0下载:
  2. QP下的框架处理源码~基于状态机的实现~ -QP under the framework of the deal with source-based state machine implementation of ~ ~ QP under the framework of the deal with source ~ based on state machine implementation of ~
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:39154
    • 提供者:Moses
  1. qs

    0下载:
  2. QP下的侦测处理源码~基于状态机的实现~ -QP under the detection processing based on state machine source code ~ ~ QP under the realization of the detection processing source ~ based on state machine implementation ~
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:11066
    • 提供者:Moses
  1. 80x86

    0下载:
  2. QP下的例子源码~基于状态机的实现~高效学习QP技术的捷径-Examples of source code under the QP state machine based on the realization of ~ ~ and efficient technique to learn a shortcut to QP
  3. 所属分类:SCM

    • 发布日期:2017-05-06
    • 文件大小:1255938
    • 提供者:Moses
« 1 2 ... 23 24 25 26 27 2829 30 31 32 33 ... 50 »
搜珍网 www.dssz.com