CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 系统闹钟

搜索资源列表

  1. Cplus

    0下载:
  2. 常用C++源码集合,包括闹钟、位图转化、学生成绩管理系统、人脸检测系统、ping系统、自动关机系统、Txt文件转换、超级玛丽、工资管理系统、BIOS操作、中国象棋、自动售货机等等。 -Common C++ source code collections, including the alarm clock, bitmap conversion, student achievement management system, human face detection system, ping sys
  3. 所属分类:Graph program

    • 发布日期:2017-05-24
    • 文件大小:7953847
    • 提供者:conroy cheung
  1. fpganaoz

    0下载:
  2. 基于FPGA闹钟系统的设计。 1.秒模块实际上是一个计数器,一秒记录一次并输出。 2.分,时模块在一个脉冲上升沿计数一次的基础上,加入了时间调整控制。 3.调整时间的控制模块,在使能信号有效时,才可实现时分的调整。 4.闹钟调整及控制模块,可实现闹钟设时的调节功能。 5.显示模块,实现时间与闹钟显示的切换。 6.闹铃模块,实现闹铃的发声装置。 7.总逻辑模块,实现电子闹钟相应功能的总系统。 -FPGA-based alarm system design. 1. S
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:197264
    • 提供者:maominchao
  1. mcu

    0下载:
  2. 单片机系统时钟程序,使用C语言编写,包括万年历、闹钟、秒表和星期-MCU system clock program, using the C language, including calendar, alarm clock, stopwatch, and a week
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:3839
    • 提供者:llz
  1. 61_BardianClock

    0下载:
  2. 这是一个用凌阳16位音频单片机SPC061A制作的个性闹钟,能够设定并播报系统时间和闹铃时间,并加入一段自选的音乐作为闹铃铃声。-This is a 16-bit audio with Sunplus microcontrollers SPC061A create personalized alarm clock can be set up and broadcast system time and the alarm time, and to join a choice of music as
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:124654
    • 提供者:吴应攀
  1. Mader.timer.nasm

    0下载:
  2. Mader.中国闹钟.timer(详解).rar==mp5即有图像又能同时调声音无影响播放图像.==win98系统片时制成立==uinx的到来.-Mader had have......................
  3. 所属分类:assembly language

    • 发布日期:2017-03-28
    • 文件大小:185791
    • 提供者:李小祥
  1. alarm

    0下载:
  2. 本次实验是编写.NET控件来实现闹钟功能,并在Windows应用程序中进行控件的测试。 控件的主要功能就是对当前时间的显示以及它的定时功能,在默认状态下控件将显示系统的当前时间,控件要求支持多个定时功能,同时用户可查看当前定时时间并可删除已定时时间。 在查看定时时间状态下,用户可选择是显示单个定时时间(当前最近的定时时间)还是循环显示所有定时时间,并可以指定循环速率(有间隔0.5秒、1秒、1.5秒、2.0秒供用户选择)。 用户可以对控件各属性进行修改,包括控件的字体、背景色、前景色、
  3. 所属分类:CSharp

    • 发布日期:2017-03-27
    • 文件大小:87196
    • 提供者:oyzw
  1. ALARM

    0下载:
  2. 应用时间函数制作的闹钟程序。实现方法:用户输入设定的时间,程序记下用户所输入的时间,并且与系统的当前时间不断进行比较,直到相等为止。-Application of the time the alarm clock function making process
  3. 所属分类:source in ebook

    • 发布日期:2017-04-03
    • 文件大小:11002
    • 提供者:海燕
  1. ctlClockLib

    0下载:
  2. 闹钟控件 闹铃控件,控件界面类似标签(Label),在默认情况下显示系统当前时间。 参考MSDN的使用 Visual C# 创作复合控件,创建 ctlClockLib 控件库和 ctlClock 控件,将ctlClock复合控件用作生成ctlAlarmClock控件的基础,通过重写父级方法并添加新的方法和属性来扩展 ctlClock 的功能。最后生成一个测试窗体Test并将控件添加到该窗体。-Alarm control alarm control, the control interfa
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-01
    • 文件大小:148096
    • 提供者:helen
  1. shizhong

    0下载:
  2. 这是一个关于C51最小系统开发的程序,在这个最小系统中我们编程实现了时钟闹钟功能-it is very easy,you can learn it by yourself..
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:16896
    • 提供者:刘经龙
  1. aaa

    0下载:
  2. 时间 温度控制系统源码 包含温度计 时间 闹钟 密码锁等功能-Time temperature control system time clock source contains the thermometer functions lock
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:403765
    • 提供者:MIN
  1. Exercise_ClassSchedule

    0下载:
  2. 课程表管理系统 实现闹钟多视图等功能 编程入门学习的最佳例子-example
  3. 所属分类:Education soft system

    • 发布日期:2017-04-05
    • 文件大小:38989
    • 提供者:蒋涛
  1. mclock

    0下载:
  2. 用VHDL编写的带闹钟报时功能的数字钟 ,现代数字系统设计作业。 采用文本图形混合输入,在maxplus2 10.0运行通过-Written by VHDL figures with alarm chime clock, modern digital system design work. Graphics mixed with text input, run by the maxplus2 10.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:333839
    • 提供者:yan
  1. alarm_clock1

    0下载:
  2. 功能微小的闹钟软件。有显示电脑的系统时间和设定闹钟时间,可以自助选取自己喜爱的音乐作为闹钟铃声。不过也有不足的地方,望指点-A alarm clock
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-04
    • 文件大小:972093
    • 提供者:詠¥ 嚛
  1. setting-meidaplay-2011-01-19

    0下载:
  2. 基于ARM9的高清媒体播放器系统设置模块,包含多个基本功能小模块,可以用做类似功能参考,如日历,闹钟等。-ARM9-based system to set high-definition player function module, containing a large number of basic functions of the module code can be used for reference, such as calendar and so on.
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-29
    • 文件大小:487487
    • 提供者:ck
  1. Multi-function-LED-lamp-PWM-design

    0下载:
  2. 基于PWM 调光的多功能LED 台灯设计 LED(发光二极管)作为一种新型光源,具有高效节能、绿色环保、使用寿命长等其 他光源无法比拟的优点,代表着未来照明技术的发展方向。本文设计了一种以AT89S51 单片机为核心的家用多功能白光LED 台灯系统,采用PT4115 大功率LED 恒流驱动方案,可 实现对LED 台灯的PWM 多级调光控制;同时,系统兼有时间日历、温度检测、液晶显示、 声光闹钟等多项功能。本文详细给出系统的硬件与软件设计过程。实验证明,该多功能LED台灯稳定高效,
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:416435
    • 提供者:高峰
  1. Alert

    0下载:
  2. 一个托盘程序演示-闹钟 Alert,图标加入到系统托盘的闹钟程序-A tray program demonstrates- Alarm Alert, added to the system tray icon for the alarm clock program
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:39843
    • 提供者:tan
  1. 55

    1下载:
  2. 电子日历时钟系统程序设计 1. 可通过M键切换显示模式:日期(年、月、日)、时间(小时、分、秒)、秒表(小时、分、秒、1/100秒)、闹钟(小时、分、秒)。 2. 在日期显示模式,可通过A键依次使年、月、日闪烁或变色,这时可通过I键加1调整。 3. 在时间显示模式,可通过A键依次使小时、分、秒闪烁或变色,这时可通过I键加1调整。 4. 在秒表显示模式,可通过I键切换(启动/暂停)计时,当暂停计时时可通过A键复位。 5. 在闹钟显示模式,可通过A键依次使On/Off标志、小时、
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:2039
    • 提供者:段亚斐
  1. vhdl-dianziwannianli

    1下载:
  2. 基于FPGA的电子万年历,此电子万年历系统主要有8个模块分别设计1. 主控制模块 maincontrol 2. 时间及其设置模块 timepiece_main 3. 时间显示动态位选模块 time_disp_select 4. 显示模块 disp_data_mux 5. 秒表模块 stopwatch 6. 日期显示与设置模块 date_main 7. 闹钟模块 alarmclock 8. 分频模块 fdiv -FPGA-based electronic calen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1237
    • 提供者:黄枫
  1. SimpleTimer

    0下载:
  2. 闹钟,可以自动获取系统时间并且可以设定时间并且提醒-Alarm clock, can automatically obtain the system time and can set the time and reminded
  3. 所属分类:Button control

    • 发布日期:2017-05-26
    • 文件大小:9215855
    • 提供者:Judyjava
  1. hw

    0下载:
  2. 家庭自能控制系统 只带时钟 数码管显示 三个闹钟 自动断电 自动开启 带温度显示 AVR-Families to be able to control the system with only three alarm clock digital display automatically turns off automatically AVR with temperature display
  3. 所属分类:Home Personal application

    • 发布日期:2017-05-10
    • 文件大小:2062961
    • 提供者:ww
« 1 2 3 45 6 7 8 »
搜珍网 www.dssz.com