CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 计数器

搜索资源列表

  1. moresort

    0下载:
  2. 改进的排序算法分析程序,使用CPU内核计数器精确各种计算排序时间,并且记录比较次数和交换次数,强烈建议希望研究算法者仔细研究。
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:97042
    • 提供者:人民币
  1. cal

    0下载:
  2. VisualBasic做的科学计数器,功能齐全。
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:131693
    • 提供者:lj
  1. MCUDESIGN

    0下载:
  2. 一个单片机应用系统的硬件电路设计包含两部分内容:一是系统扩展,即单片机内部的功能单元,如ROM、RAM、I/O、定时器/计数器、中断系统等不能满足应用系统的要求时,必须在片外进行扩展,选择适当的芯片,设计相应的电路。二是系统的配置,即按照系统功能要求配置外围设备,如键盘、显示器、打印机、A/D、D/A转换器等,要设计合适的接口电路。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-16
    • 文件大小:3289
    • 提供者:smren
  1. HuLing-2003-3-18

    0下载:
  2. 应用描述:人类反映测试软件,原本为一个心理学博士MM开发的,5年左右过去了,把源代码放出来,如果也有搞人类反映测试的可以参考。 代码描述:支持微妙级计数器,支持声音采集及阈值分析,支持自定义测试文件等内容。
  3. 所属分类:系统编程

    • 发布日期:2014-01-16
    • 文件大小:510255
    • 提供者:徐磊
  1. numberondephi

    0下载:
  2. dephi做的计数器程序,代码短小精悍,适合初学者学习参考
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:205327
    • 提供者:徐图
  1. Afixed-pointbasecomplementdivider

    0下载:
  2. 由寄存器,全加器,移位寄存器,计数器,触发器和门电路构成补码一位除法器,将开关设定的补码形式出现的除数,被除数存入相应寄存器中.能用单脉冲按步演示运算全过程.
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:143809
    • 提供者:JOE
  1. Thecompetitionsnatchesanswering

    2下载:
  2. 基本功能:在主持人按下抢答开关后,各位选手即可开始抢答,并在数码管上显示最先抢答选手的号码。 扩展功能:在主持人按下抢答开关后,计数器开始9秒倒计时,若倒计时结束后没有人抢答,则本轮抢答无效,进入下一轮。
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:44324
    • 提供者:JOE
  1. Thesimulationsnatchesansweringtosnatchanswers

    0下载:
  2. 1 在主持人按下抢答开关后,各位选手即可开始抢答,并 在数码管上显示最先抢答选手的号码; 2 在主持人按下抢答开关后,计数器开始9秒倒计时,若 倒计时结束后没有人抢答,则本轮抢答无效,进入下一 轮;
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:112293
    • 提供者:JOE
  1. count

    0下载:
  2. 本文件包是在MAX+plus II 软件环境下实现计数器的逻辑功能
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:58335
    • 提供者:罗理平
  1. Displaybak

    1下载:
  2. 工控计数器ICCAVR的MAGE8程序LED数码显示+4键
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:185005
    • 提供者:bayygywgi
  1. numberingindicator

    0下载:
  2. 一个简易计数器的源代码,希望能给初学者和其他一些有需要的人一点帮助.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2603
    • 提供者:jack
  1. SIMPLE_MCU_EXPERIMENT_BOARD

    0下载:
  2. 自制的单片机简单实验板原理图和PCB制板图,由PROTEL99设计;可以实现端口实验,定时器实验,计数器实验,中断实验,发声实验,串口通讯实验,I2C总线实验,SPI总线实验
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:534795
    • 提供者:洪光明
  1. dpll

    0下载:
  2. DPLL由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成. 整个系统的中心频率(即signal_in和signal_out的码速率的2倍) 为clk/8/N. 模K加减计数器的K值决定DPLL的精度和同步建立时间,K越大,则同步建立时间长,同步精度高.反之则短,低.
  3. 所属分类:RFID编程

    • 发布日期:2008-10-13
    • 文件大小:1386
    • 提供者:sharny
  1. digitalPLL

    0下载:
  2. 数字锁相环实现源码,有很大的参考价值。 由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成.
  3. 所属分类:RFID编程

    • 发布日期:2008-10-13
    • 文件大小:2482
    • 提供者:sharny
  1. shijianpian

    0下载:
  2. 时间片轮转算法struct { int name //进程标识符 int status //进程状态 int ax,bx,cx,dx //进程现场信息,通用寄存器内容 int pc //进程现场信息,程序计数器内容 int psw //进程现场信息,程序状态字内容 int next //下一个进程控制块的位置 }pcbarea[n]
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:1583
    • 提供者:pzq
  1. counter

    0下载:
  2. 一个计数器 一个计数器
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1183
    • 提供者:大幅
  1. Module=99ReversibilityCounter

    4下载:
  2. 设计功能及要求 设计M=99的十进制加/减可逆计数器 (1)接通电源时电路能自启动; (2)手动分别实现加、减计数和自动实现加减可逆计数; (3)用数码管显示计数数值。 (4)给定元件:74LS192、74LS00、74LS76、74LS48及LED。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:320758
    • 提供者:梁志明
  1. countersrc

    0下载:
  2. 用C++编写的计数器CGI程序,功能强大,运行速度快速可靠
  3. 所属分类:网络编程

    • 发布日期:2008-10-13
    • 文件大小:62114
    • 提供者:周杰
  1. fd

    0下载:
  2. 这是一个键盘防抖动的C程序。该防抖动程序采用计数器型,简单,稳定,省资源。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:174615
    • 提供者:liuhailiang
  1. dividefre

    0下载:
  2. CPLD_EPM7064程序,运用计数器实现的分频程序,VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:127056
    • 提供者:flyingfish521
« 1 2 ... 17 18 19 20 21 2223 24 25 26 27 ... 50 »
搜珍网 www.dssz.com