CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 计数器

搜索资源列表

  1. wannianli

    0下载:
  2. 使用AVR ATmega128实验箱上的资源,设计万年历。本系统应用了Text LCD,矩阵键盘,定时器/计数器中断系统,另外,我从伺服电机接口PB.5接出一个蜂鸣器。本次实验的目的就是熟悉AVR的这些资源。-AVR ATmega128 me to use up the resources of experimental design calendar. The system applied Text LCD, matrix keyboard, timer/counter interrupt s
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:33060
    • 提供者:dingfeng
  1. 0099

    0下载:
  2. 用 proteus + keil 实现一个00-99计数器 里面用的是 AT89C51-With proteus+ Keil achieve a 00-99 counter inside using AT89C51
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:52604
    • 提供者:王映理
  1. count10

    0下载:
  2. 用vhdl编写的十进制计数器,内部说明详细。-Prepared using VHDL decimal counter, the internal descr iption in detail.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:152593
    • 提供者:雪花
  1. 0-999_count

    1下载:
  2. 51单片机程序,0-999计数器,配有实验说明文档。-51 ,0-999 MCU program counter, with experimental documentation.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:127669
    • 提供者:pudnac9
  1. SimpleCounter

    0下载:
  2. 计数器,用servlet实现很简单-Counters, with the realization of a very simple servlet
  3. 所属分类:Web Server

    • 发布日期:2017-12-07
    • 文件大小:758
    • 提供者:刑天
  1. a8254

    0下载:
  2. 自己编写的8254计数器/计时器,实现了所有的6种模式,和大家一起分享。-I have written 8254 counter/timer, realize all the six kinds of patterns, and the U.S. share.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4881921
    • 提供者:高超
  1. Caculater

    0下载:
  2. 一个简单的计数器程序,用C#写的,功能不是很强,用到了一些委托的概念。-A simple counter procedures, using C# Written function is not very strong, and used a number of commissioned concept.
  3. 所属分类:CSharp

    • 发布日期:2017-03-30
    • 文件大小:14536
    • 提供者:刘冲
  1. WL012

    0下载:
  2. 计数器实验 * * 定时器1工作于16位计数模式,记录外部脉冲的个数; * * 定时器0工作于定时模式,用于进行动态扫描显示 * * * * 此程序可用按键AN4来演示,但是由于按键有抖动现象,所以每按一次* * 有可能产生多次计数脉冲 * *-Timer 1 Counter** Experimental work on 16 count mode, recording the number of external pulse ** Timer 0 in the regu
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:25701
    • 提供者:liuchengjun
  1. shiyan6

    0下载:
  2. 一个8位的十进制频率计数器,功能经过测试.-An 8-bit decimal frequency counter, function tested.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:1305
    • 提供者:何情
  1. T0_C51

    0下载:
  2. 51定时计数器的应用实例,适合初学者-51 timer counter application, suitable for beginners
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:15549
    • 提供者: 国栋
  1. 51counter

    0下载:
  2. 计算定时计数器初值,最大化方便程序初值的计算和节约时间-Counter initial value calculated from time to time, to facilitate procedures to maximize the initial value calculation and save time
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:223158
    • 提供者:帝君
  1. counter

    0下载:
  2. 相信很多人都可以做得一个计数器的程序,不论是用C#、VB、VC++等编程工具。在这里我所做的这个计数器是使用C#做的,效果可能可其他人所做的不一样。它主要的目的是通过等额还贷对买房子的客户分期付款的金额和要多少年限才能还清钱进行计算。-I believe many people could do a counter procedures, whether they are using C#, VB, VC++ And other programming tools. I have done he
  3. 所属分类:C#编程

    • 发布日期:2014-03-21
    • 文件大小:42910
    • 提供者:longlong
  1. InitialcalculationsUsingCVI

    0下载:
  2. 本程序使用CVI计算51单片机定时/计数器初值,输入晶振和定时时间,可自动算出初值-This procedure calculated using CVI Singlechip 51 timer/counter initial value, type and timing crystal time, can automatically calculate the initial value
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:221123
    • 提供者:刘王
  1. a

    0下载:
  2. 0-999计数器 单片机数码管显示-0-999 counter Singlechip digital tube display
  3. 所属分类:assembly language

    • 发布日期:2017-04-17
    • 文件大小:127909
    • 提供者:卡极
  1. 100

    0下载:
  2. 100位计数器,汇编语言实验,很精准的,计数从0到-100 counters, assembly language experiments, very accurate, counting from 0 to
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:916
    • 提供者:yjj
  1. bhgfdti

    0下载:
  2. 含有七人表决器,格雷码变换电路,英文字符显示电路,基本触发器(D和JK),74LS160计数器功能模块,步长可变的加减计数器-Containing seven people vote, and Gray code conversion circuit, the English characters display circuit, the basic flip-flop (D and JK), 74LS160 counter function modules, variable-step add
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:423791
    • 提供者:俞皓尹
  1. CIRNUM

    0下载:
  2. 我自己封装的循环计数器类,使用时将其添加到工程就可以了-Packaging my own cycle counter category, when used to add it to the project can be a
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-12
    • 文件大小:1371
    • 提供者:付恩宇
  1. Cplusecount

    0下载:
  2. 一个拥有中断外部脉冲清零,外部脉冲计数的计数器,计数范围0-9999.脉冲频率可调-A disruption of the external pulse cleared, the external pulse count counter, count range 0-9999. Adjustable pulse frequency
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-25
    • 文件大小:54482
    • 提供者:杜威
  1. CounterOfProgram

    0下载:
  2. 程序行代码计数器,可以很方便的计算你所写程序的源代码的行数-Procedures for lines of code counter, can be easily written procedures for the calculation of your source code of the number of rows
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-29
    • 文件大小:71781
    • 提供者:苏柏
  1. jiaotongdeng

    0下载:
  2. 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1935
    • 提供者:靓仔
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com