CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 计时器

搜索资源列表

  1. TimeCounter

    0下载:
  2. 桌面计时器。vc习作。完成计时和倒计时的功能。而且能够几下多组时间。界面采用了皮肤漂亮华丽。-Desktop timer. vc exercises. The completion of timing and countdown functions. But many groups can a few hours. Interface uses gorgeous beautiful skin.
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-02
    • 文件大小:626657
    • 提供者:张家华
  1. xlmb

    0下载:
  2. 数码管8位秒表计时器,采用c51语言编写,在51单片机上可以运行-Digital tube 8 stopwatch timer, using C51 languages, in 51 single-chip can run up
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2078
    • 提供者:夏金伟
  1. clock

    0下载:
  2. 多功能计时器,具有校准,显示,可分别多秒,分小时,年,月,日操作和显示-a clock with multiple functions
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-28
    • 文件大小:187413
    • 提供者:张立涛
  1. timer

    0下载:
  2. 秒表计时器,具有记时、暂停记时和归零功能。 特别适合作为Flash对象插入到幻灯片中,在幻灯片放映时作为记时定时之用。-Stopwatch timer, has in mind, the suspension of time and return-to-zero function in mind. Particularly suitable as a Flash object into the slide, in slide show from time to time as recorde
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-14
    • 文件大小:4654
    • 提供者:mkw
  1. ThreadClock2005

    0下载:
  2. 线程计时器,单开一个线程处理计时的问题- xian cheng ji shi qi
  3. 所属分类:CSharp

    • 发布日期:2017-04-25
    • 文件大小:62635
    • 提供者:刘涛
  1. jsq

    0下载:
  2. 利用51单片机设计计时器设计源码,数码显示-51 single-chip design using the design source timer, digital display
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:995
    • 提供者:王林
  1. calculate

    0下载:
  2. 计时器,是一个高精度计时器的delphi工程文件-Timer, is a high-precision timer delphi project file
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-08
    • 文件大小:179698
    • 提供者:duduu
  1. hzy

    0下载:
  2. 计时器,可以有效的记录所要的时间 ,还有显示系统时间。-Timer can be an effective time to be recorded, as well as the time display system.
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:2343
    • 提供者:吴联恺
  1. jishiqi

    0下载:
  2. 用VC++编写的计时器,感觉很好用,大家可以下来-Written by VC++ timer, feel is useful, we can try down
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-17
    • 文件大小:83911
    • 提供者:中尉
  1. clock

    0下载:
  2. 1. 首先建立一个基本对话的exe工程,并命名为time; 2. 在BOOL CTimeDlg::OnInitDialog()函数内建立一个计时器,SetTimer(1,1000,NULL) 3. 再用classwizard建立消息响应函数void CTimeDlg::OnTimer(UINT nIDEvent),在里面输入代码如下:Invalidate() 4. 再用classwizard建立消息响应函数void CTimeDlg::OnDestroy(),在里面输入代码如下:
  3. 所属分类:Data structs

    • 发布日期:2017-04-10
    • 文件大小:1911991
    • 提供者:tmacq3
  1. MultiTimerDemo

    0下载:
  2. 各种计时器的比较,研究高精度计时的很好的材料,外加一个C++的Stopwatch-Comparison of the various timers to study the high-precision timing of a very good material, plus a C++, Stopwatch
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-13
    • 文件大小:3433908
    • 提供者:chengtong
  1. huibian

    0下载:
  2. 汇编计时器,可以实现自动获取时间,清屏,以及显示年月日-Compilation timer
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:5600
    • 提供者:李默
  1. debate

    0下载:
  2. 给一般规则下的辩论赛比赛采用的辩论赛计时器。-To the general debate under the rules of match play tournament timer used in the debate.
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-10
    • 文件大小:2160670
    • 提供者:yichao
  1. divider

    0下载:
  2. 用VHDL实现了一个计时器,在SPANTAN3E上验证通过-VHDL, implements a timer, in the SPANTAN3E verified by the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:2021909
    • 提供者:zhaocheng
  1. DataStructure_Algorithms

    0下载:
  2. 常用数据结构和算法的实现及测试。 1)数据结构包含:堆栈 队列 线性表 链表 2)算法包括:冒泡排序 选择排序 插入排序 希尔排序 归并排序 快排 堆排序 3)其他包括:随即数的产生 计时器的实现-Common data structures and algorithms implementation and testing. 1) The data structure includes: a linear table linked list stack queue 2) algor
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-31
    • 文件大小:438671
    • 提供者:songjian
  1. Thread_sample_0

    0下载:
  2. 在控制台中的消息处理,并应用多线程实现的计时器小程序(初学编程)。-In the console message handling, and application of small multi-threaded implementation of the timer program (beginners programming).
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:461332
    • 提供者:liteng
  1. 7

    0下载:
  2. 调用总共四个计数器(两个六进制,两个十进制,六进制计数器可由实验五的程序做简单修改而成)串起来构成异步计数器,计数器的值,通过实验九串行扫描输出。用1Hz连续脉冲作为输入,这样就构成一个简单的1h计时器。带一个清零端。 输入:连续脉冲,逻辑开关;输出:七段LED。 -Called a total of four counters (two six-band, two decimal, hexadecimal counter by six experimental procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:6380
    • 提供者:李小勇
  1. Clock

    0下载:
  2. 计时器 可用于计时 非常常用Any changes made cards can be good results-Timer is used for timing
  3. 所属分类:Algorithm

    • 发布日期:2017-04-11
    • 文件大小:1094
    • 提供者:sunwen
  1. miaobiao

    0下载:
  2. 凌阳单片机SPCEO61A实现的基本的秒表功能,能想裁判使用的那种计时器一样有计时功能。-Sunplus microcontrollers SPCEO61A to achieve the basic stopwatch functions, can be used to judge the kind of timer as a timer function.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:320768
    • 提供者:marithy
  1. Timer_sigtap

    1下载:
  2. 用Verilog HDL语言写一个计时器。其实就是在计数器的时钟输入端输入一个固定频率的时钟-Verilog HDL language used to write a timer. Is actually counter clock input of a fixed frequency clock input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-12-18
    • 文件大小:2803712
    • 提供者:sunying
« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com