CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 计算器 vhdl

搜索资源列表

  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. Ivga

    0下载:
  2. 用VHDL写的计算器,实现加减功能以及VGA显示功能,适合VHDL初学者使用。-VHDL write calculators, Modified functions and achieve VGA display, VHDL for beginners.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:491451
    • 提供者:yumen
  1. jianpansaomiao

    0下载:
  2. 键盘模块,基于VHDL的源码,用于做计算器以及其他小型模块的应用-keyboard module, based on VHDL source code, spent calculators and other small application module
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:45724
    • 提供者:jk
  1. calculation2

    0下载:
  2. 用VHDL语言实现0--100范围内简单计算器功能的源代码,包括加减乘除四种运算功能-VHDL 0 -- 100 within a simple calculator function in the source code. including the four arithmetic operations function
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2729
    • 提供者:刘西圣
  1. calculator

    0下载:
  2. 用VHDL编写的计算器,能实现简单的加减乘除四则运算
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:21911
    • 提供者:huyanlong
  1. sdfsugfus

    0下载:
  2. 用VHDL编写的计算器:能实现简单的加减乘除四则运算-Prepared using VHDL calculator: to achieve simple addition and subtraction, multiplication and division four computing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:10190
    • 提供者:缺打打
  1. counter

    0下载:
  2. 实现了各种精度的计算器,可供学习,也可应用的工程中。- the difference counter ,it can help to learn VHDL ,it can also be as a modular in your project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:839
    • 提供者:xu_xf
  1. calculator

    0下载:
  2. 此源码为在xilinx环境中用VHDL实现计算器,实例可用xcs40xl-4-pq208戓xc2s100-6pq208FPGA来实现-The source code in xilinx environment using VHDL implementation calculators, examples can be xcs40xl-4-pq208 Ge xc2s100-6pq208FPGA to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:7789
    • 提供者:cherry
  1. test

    0下载:
  2. 简易计算器 2位数字的加减乘除 用VHDL编程 在实验箱上实现-Simple Calculator 2-digit addition and subtraction, multiplication and division using VHDL programming to achieve in the experimental box
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:3794791
    • 提供者:方婷
  1. CaculatorBasedonVHDL

    0下载:
  2. 用VHDL编写的计算器,供下载到学习板上使用,芯片型号请在工程中查看。可以实现加减与或比较-Written by VHDL calculator, available for download to learn to use the board, the chip model in the project view. Comparison of addition and subtraction can be achieved with or
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:833262
    • 提供者:陈慕繁
  1. zzchufaqi

    0下载:
  2. vhdl 除法器 eda课程设计用。 设计一个两个五位数相除的整数除法器。用发光二极管显示输入数值,用7段显示器显示结果十进制结果。除数和被除数分两次输入,在输入除数和被除数时,要求显示十进制输入数据。采用分时显示方式进行,可参见计算器的显示功能。-divider vhdl eda curriculum design purposes. Design a two five-digit integer divider division. Enter the value with the lig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:521983
    • 提供者:
  1. cal

    0下载:
  2. 运用quartusII vhdl语言做成的计算器-Made use of quartusII vhdl calculator language
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:13004346
    • 提供者:yeung yun
  1. add

    0下载:
  2. 加法计算器,在vhdl下变成完成,包括仿真等。可以完整在quartus,maxplus等下运行-adder vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:327086
    • 提供者:王碧云
  1. Cal2

    0下载:
  2. 课堂学习自制VHDL计算器小程序,使用4*4键盘,A加法,B乘法,C退格,E等于,F清零。-A program of Calculator made in VHDL course in school, using 4*4 keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:779363
    • 提供者:Wang
  1. 123

    0下载:
  2. 基于FPGA的简单计算器系统的设计,使用了vhdl与verilog语言,附有文档介绍-Simple calculator system based on FPGA design using vhdl verilog language, with document describes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:5671150
    • 提供者:于智同
  1. fib

    3下载:
  2. 一个基于VHDL编程的可用于FPGA实现的斐波那契数列计算器- implemented a circuit in VHDL that calculates Fibonacci numbers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:6144
    • 提供者:andyxing
  1. jianyijisuanqi

    0下载:
  2. 用VHDL实现简易计算器,实现加法、减法、乘法、除法的功能。-Use VHDL to realize simple calculator, can realize the function of addition, subtraction, multiplication, and division.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:15981
    • 提供者:
  1. FPGADE270CACULATOR

    1下载:
  2. 本文介绍了一个简单的计算器的设计,该设计采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示在LCD1602上。-This article describes a simple calculator design that uses a field programmable logic device FPGA design and VHDL language based on arithmetic functions, and decimal display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-01
    • 文件大小:3398656
    • 提供者:南宫崔浩
  1. Calculator

    0下载:
  2. VHDL计算器,涉及PS2输入,VGA视频输出,加法器,BCD转化。可以通过研究代码学习以上知识-VHDL calculator, involving PS2 input, VGA video output, the adder, BCD transformation. You can learn more knowledge through research code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1485765
    • 提供者:焱斐然
  1. VHDLwork

    0下载:
  2. 几个示例程序 用于初学者学习 比如计算器 停表之类程序(Several sample programs are used for beginners to learn)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:5050368
    • 提供者:林颂威
« 1 23 »
搜珍网 www.dssz.com