CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 进制 计数器

搜索资源列表

  1. code

    0下载:
  2. 设计一个同步二十四进制计数器,理解触发器同步计数工作机制,掌握同步触 发控制的VHDL描述方法以及异步清零的描述方法。 -Design a synchronous binary counter twenty-four understanding count the trigger synchronization mechanism, master synchronous trigger VHDL descr iption method and asynchronous clear desc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:657
    • 提供者:张双图
  1. myvhdl

    0下载:
  2. 用VHDL实现了简单的程序编写和仿真。是一个10进制计数器。-Using VHDL to make a simple 10 counter and it s simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:144249
    • 提供者:yager
  1. sjnd

    0下载:
  2. EDA的29进制计数器,采用quartus完成,学校实验经常用-EDA s 29 binary counter, using quartus complete, the school often experiment
  3. 所属分类:MiddleWare

    • 发布日期:2017-05-02
    • 文件大小:579024
    • 提供者:李华
  1. led_24_terminal

    0下载:
  2. 这是一段用VHDL语言写的24进制计数器,用数码管显示,我用了例化语句,分为24进制计数器模块,十位译码,个位译码,用cycloneII ep2系列实验板验证,能计数0~23。此程序还可以修改为100以内任何进制计数器。-This is a written in VHDL language 24 a binary counter, using digital tube display, I used the instantiated statements, divided into 24 hex
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:352802
    • 提供者:高安
  1. DIVIDER

    0下载:
  2. M进制计数器 verilog code for divider-verilog code for divider verilog code for dividerverilog code for divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2395
    • 提供者:HP
  1. jishu99

    0下载:
  2. 100进制计数器程序,随着时钟自动计数并且在七段LED数码管上显示出来-100 binary counter, with the clock is automatically counted and displayed on the seven-segment LED digital tube
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:306149
    • 提供者:cyx
  1. eda

    0下载:
  2. 一百进制计数器,以十进制计数器为模板增加十位计数,可类比写出多位计数器。九十九清零。-One hundred binary counter, decimal counter increased ten count as a template, you can write a number of analog counter. Ninety-nine cleared.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:558114
    • 提供者:陈睿祺
  1. cnt63dis

    0下载:
  2. ISE环境下Verilog编程实现63进制计数器并用7段译码显像管显示-ISE Verilog programming environment under 63 binary counter with 7 segment decoder CRT display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1247211
    • 提供者:sxx
  1. cnt100

    0下载:
  2. 一百进制计数器,采用层次化设计,底层文件为十进制计数器,顶层文件原理图设计-the procedure is based on vhdl,it can count 100,and use top-down
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:682005
    • 提供者:niuniu
  1. 21452547

    0下载:
  2. 加减可控制的十到十六进制计数器。完全准确,可以放心使用的-Add and subtract controllable ten to hexadecimal counter. Entirely accurate, can be at ease of use
  3. 所属分类:assembly language

    • 发布日期:2017-04-30
    • 文件大小:353168
    • 提供者:士亚菲
  1. seller_moore

    0下载:
  2. 用Verilog实现十六进制计数器。内含有整个完整工程。包括tb文件。-realiaztion of timer16 using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:42362
    • 提供者:朴巍
  1. timer16

    0下载:
  2. 十六进制计数器的的Verilog实现。内有整个工程,包括tb文件。仿真可通过-realizaiton of timer16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:37177
    • 提供者:朴巍
  1. CNT12

    0下载:
  2. 通过一个简单完整而典型的12进制计数器的VHDL设计实例,来使大家初步了解用VHDL表达以及由此而引出的VHDL语言现象和语句规则。 让大家能够迅速的从整体上把握VHDL程序的基本结构和设计特点,达到快速入门的目的。 -Through a simple and complete and typical 12-band counter VHDL design examples, to make preliminary understanding of VHDL expression and the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:34158
    • 提供者:XINGJINGYU
  1. count6

    0下载:
  2. 本科课程设计 六进制计数器,带电路原理图,编译已通过。-Undergraduate course design- six decimal counter.Circuit diagram with the compiler has been adopted.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:542671
    • 提供者:耀敬
  1. kebianjishuqi

    0下载:
  2. Verilg HDL语言编写实现进制计数器切换,包括模9、模6、模4、和模8加法计数器,通过按键输入,消抖,数码管显示。开发环境:ISE14.7-Verilg HDL language to achieve binary counter switch, including die 9, die 6, die 4, and die 8 adder counter, through the key input, eliminate jitter, digital display. Developme
  3. 所属分类:Other systems

    • 发布日期:2017-12-14
    • 文件大小:1941504
    • 提供者:王春城
  1. Johnaon_counter

    0下载:
  2. 本设计为六位约翰逊(Johnson)计数器,首先给大家介绍一下什么是约翰逊计数器,它又称扭环计数器,是一种用n位触发器来表示2n个状态的计数器。它与环形计数器不同,后者用n位触发器仅可表示n个状态。2~n进制计数器(n为触发器的个数)有2~n个状态。若以6位二进制计数器为例,它可表示64个状态。但由于8421码每组代码之间可能有二位或二位以上的二进制代码发生改变,这在计数器中特别是异步计数器中就有可能产生错误的译码信号,从而造成永久性的错误。而约翰逊计数器的状态表中,相邻两组代码只可能有一位二进
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:6299
    • 提供者:Leegege
  1. matlab数字时钟

    0下载:
  2. matlab数字时钟,设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 可手动校正时、分时间和日期值。(Matlab digital clock, the design of a display date, hour, minute, second digital electronic clock, and with the whole point timekeeping function. T
  3. 所属分类:通讯编程

    • 发布日期:2017-12-27
    • 文件大小:1436672
    • 提供者:小蛋008
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
  1. LED_DISP

    0下载:
  2. 输入时钟4MHz,分频至1Hz,对时钟计数,LED显示输出,加使能EN和复位RST(Input clock 4MHz, frequency division to 1Hz, clock count, LED display output, add enable EN and reset RST)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1586176
    • 提供者:MmDawN
  1. plj

    1下载:
  2. 使用vhdl语言原件例化设计数字频率计,并用6位7段数码管计数。模块包括:十进制计数器,6位10进制计数器,Reg24 锁存器、Fp 分频器、Ctrl 频率控制器、Disp 动态显示。(The digital frequency meter is designed by using VHDL language as an example and counted by 6-bit 7-segment digital tube. Modules include: decimal counter, 6
  3. 所属分类:其他

    • 发布日期:2019-12-13
    • 文件大小:11264
    • 提供者:贵阳余文乐
« 1 2 3 4 5 6 78 9 10 11 »
搜珍网 www.dssz.com