CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - (7

搜索资源列表

  1. myTurbo_test

    0下载:
  2. Turbo编码的FPGA实现,采用了(7,5)RSC编码和循环移位交织,帧长度128bit(The FPGA implementation of Turbo coding adopts (7, 5) RSC coding and cyclic shift interleaving, and the frame length is 128bit.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:1808384
    • 提供者:louisqw
  1. Turbo_encode

    0下载:
  2. 实现了Turbo码编码的c语言模拟,采用了(7,5)RSC编码以及循环移位交织(The C language simulation of Turbo code is realized, with (7, 5) RSC coding and cyclic shift interleaving.)
  3. 所属分类:网络编程

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:louisqw
  1. 3-7

    0下载:
  2. /*【问题描述】 输入一个正整数n,生成一张3的乘方表,输出30 ~3n的值,可调用幂函数计算3的乘方? 【输入输出样例1】(下划线部分表示输入) Enter n: 3 pow(3,0)=1 pow(3,1)=3 pow(3,2)=9 pow(3,3)=27 【样例说明】 输入提示符后要加一个空格。其中“:”后要加一个且只能一个空格。 输出语句的“=”两边无空格。 英文字母区分大小写。必须严格按样例输入输出。*/(Enter n: 3 pow(3,0)=1 pow(
  3. 所属分类:Windows编程

    • 发布日期:2018-05-07
    • 文件大小:1024
    • 提供者:vehicler
  1. CATIA助手7(PC)20180426

    14下载:
  2. CATIA助手7更新须知 更新前版本:CATIA助手7.0.0 更新后版本:CATIA助手7.1.0 主要更新内容: 1、工程图自定义表格支持单元格大小可根据图纸大小运算调整 2、新增宏管理工具,可以将自定义的宏加入到助手中统一管理执行 3、公式计算增加自定义算法,自定义算法支持VBscr ipt脚本语言,写在FunLibrary.txt文件中 4、修改锥齿轮创建算法(因在部分R20版本中创建结果有偏差) 5、修复快速特征创建功能中的“隔套/衬套”创建时导致CATIA崩溃的问题(
  3. 所属分类:Windows编程

    • 发布日期:2019-11-21
    • 文件大小:3574784
    • 提供者:Miller100
  1. 车站自动售票

    1下载:
  2. (1)模拟设立一个服务时间区间如7:00-20:00,或者限定最大服务人数; (2)顾客到达时间随机,选择购票/签票/退票业务随机,选择人工/自动售票随机,同样的购票方式选择时选取人数最少的排队等待,随机情况需用随机数模拟实现。 (3)需要区分不同窗口或不同的自助售票机。 (4)接受服务/自助购票用一个随机数模拟时间表示。(1) simulate the establishment of a service time interval, such as 7:00-20:00, or lim
  3. 所属分类:操作系统开发

    • 发布日期:2020-06-23
    • 文件大小:197632
    • 提供者:llyqwqq
  1. ESFramework.EntranceDemo

    1下载:
  2. 本文将介绍使用ESFramework开发的一个入门Demo,该Demo演示了以下功能: (1)客户端用户上下线时,通知其他在线用户。 (2)当客户端与服务端网络断开时,进行自动重连,当网络恢复后,重连成功。 (3)所有在线用户之间可以进行文字聊天。 (4)文件传送。 (5)P2P通道。 (6)消息同步调用。 (7)重登陆模式。当同名的用户登陆时,会把前面的用户挤掉。(This article will introduce an entry Demo de
  3. 所属分类:Windows编程

    • 发布日期:2019-07-01
    • 文件大小:11382784
    • 提供者:moshoushou
  1. 陌屿授权系统(5.7)最新版

    2下载:
  2. 网站授权,源码加密,代理管理等一大堆功能(Website authorization, source code encryption, agent management and so on.)
  3. 所属分类:其他

    • 发布日期:2019-03-12
    • 文件大小:3471360
    • 提供者:陌屿
  1. Verilog的135个经典设计实例

    1下载:
  2. Verilog的135个经典设计实例,部分摘录如下:【例 9.23】可变模加法/减法计数器【例 11.7】自动售饮料机【例 11.6】“梁祝”乐曲演奏电路【例 11.5】交通灯控制器【例 11.2】4 位数字频率计控制模块【例 11.1】数字跑表【例 9.26】256×16 RAM 块【例 9.27】4 位串并转换器【例 11.8】多功能数字钟【例 11.9】电话计费器程序【例 12.13】CRC 编码【例 12.12】(7,4)循环码纠错译码器【例 12.10】(7,4)线性分组码译码器【例
  3. 所属分类:VHDL/FPGA/Verilog

  1. 7.2 超弹性材料

    11下载:
  2. abaqus 超弹分析(umat)子程序(ABAQUS hyperelastic analysis (UMAT) subroutine)
  3. 所属分类:其他

    • 发布日期:2020-02-13
    • 文件大小:202752
    • 提供者:知守92
  1. 人工智能算法演示(演示程序+源代码)

    1下载:
  2. 使用说明: Windows下的程序直接双击即可,Linux下的程序,首先将“加完权限后请运行我!.sh”添加可执行的权限,然后运行之。 操作说明: [w]健:上 [s]健:下 [a]健:左 [d]健:右 已测试的运行环境: WindowsXP、Windows8、Ubuntu12.10 开发环境: Ubuntu12.10 + g++4.7.2 + Qt4.8.1 + QtCreator2.6/QtCreator2.
  3. 所属分类:界面编程

  1. 7

    1下载:
  2. 设计两台单片机系统间的串行通信 (1)甲方P1口连接8个LED灯; (2)乙方经串行通信输出数据至甲方,甲方根据所接收的数据,在8个LED灯实现流水灯显示; (3)需采用串行口方式1及中断方式进行数据的发送和接收。(Design of Serial Communication between Two Single Chip Microcomputer Systems (1) Party A's P1 port is connected with 8 LED lights; (2) Pa
  3. 所属分类:其他

    • 发布日期:2020-06-22
    • 文件大小:13312
    • 提供者:林~
  1. HLS

    3下载:
  2. 为了感谢惠俊英老师的谆谆教诲,再为好奇诸君奉上水声物理--海洋传播声场模拟的一份武林秘籍! 当好奇诸君阅毕此卷,再对照轻松跑完 9 套作业(9 路招式),即入“海洋射线声学”之江湖! BELLHOP 与 AcousticsToolbox 遵照 GNU 公共许可证进行分发。感谢Michael B. Porter 对 BELLHOP 的原创。更感谢由 Free Software 凝聚起来的自由科学家社区。
  3. 所属分类:其他

    • 发布日期:2019-11-29
    • 文件大小:19799040
    • 提供者:kaimer
  1. HT-Hawk飞控源代码7.24号更新

    1下载:
  2. 无人机是无人驾驶飞机的简称(Unmanned Aerial Vehicle),是利用无线电遥控设备和自备的程序控制装置的不载人飞机,包括无人直升机、固定翼机、多旋翼飞行器、无人飞艇、无人伞翼机。广义地看也包括临近空间飞行器(20-100 公里空域),如平流层飞艇、高空气球、太阳能无人机等。(Unmanned Aerial Vehicle (UAV) is a kind of unmanned aircraft which uses radio remote control equipment a
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2019-08-02
    • 文件大小:723726
    • 提供者:科技达人
  1. CATIA助手7(PC)

    22下载:
  2. catia辅助软件,大量出图必备,自动生成明细目录等等(CATIA auxiliary software, a large number of drawings necessary, automatically generate detailed directories and so on.)
  3. 所属分类:其他

    • 发布日期:2019-08-02
    • 文件大小:4730880
    • 提供者:小白白123456
  1. 精伦二次开发包V4.0.0.7

    1下载:
  2. 武汉精伦电子开发的二代身份证读卡系列机具适用于相关行业的联机型应用。产品提供了完善的二次软件开发接口(API)。本手册对开发接口的文件组成、函数定义格式、调用方法及返回值等进行详细的说明(The second generation ID card reader series developed by Wuhan Jinglun Electronics is suitable for online applications in related industries. The product pr
  3. 所属分类:其他

    • 发布日期:2020-07-05
    • 文件大小:931840
    • 提供者:夜天1987
  1. adminer_v3.7.0

    1下载:
  2. Adminer是一个类似于phpMyAdmin的MySQL管理客户端。整个程序只有一个PHP文件,易于使用和安装。Adminer支持多语言(已自 带11种翻译语言文件,可以按自己的需求翻译相应的语言)。支持PHP4.3+,MySQL 4.1+以上的版本。 提供的功能包括: 1:创建,修改,删除索引/外键/视图/存储过程和函数。 2:查询,合计,排序数据。 3:新增/修改/删除记录。 4:支持所有数据类型,包括大字段。 5:能够批量执行SQL语句。 6:支持将数据,表结构,视
  3. 所属分类:MySQL数据库

    • 发布日期:2019-05-20
    • 文件大小:169984
    • 提供者:ztl962005
  1. mcgs

    1下载:
  2. 自动洗衣机的洗衣桶和脱水桶是以同一中心安放的。外桶固定,作盛水用。 内桶可以旋转,作脱水(甩干)用。内桶的四周有很多小孔,使内/外桶的水流 相通。 该种洗衣机的进水和排水分别由进水电磁阀和排水电子阀来执行。进水时, 通过电控系统时进水阀打开,将水由外桶排到机外。洗涤正转,反转由洗涤电动 机驱动波盘正,反转来实现,此时脱水桶并不旋转。脱水时,通过电控系统将离 合器合上,由洗涤电动机带动内桶正转进行甩干。高,低,中水位开关分别用来 检测高,低,中水位。启动按钮用来启动洗衣机工作。停止按
  3. 所属分类:其他

    • 发布日期:2019-10-12
    • 文件大小:144384
    • 提供者:诗酒配年华
  1. 7.传播算子DOA估计算法MATLAB程序

    1下载:
  2. 空间信号的波达方向(Direction of Arrival,简称DOA)估计作为阵列信号处理研究的一个主要课题,在近些年来越来越得到大量的关注和研究。它可实现对空间中信源信号的准确的角度估计,实现信源方位等参数的具体精确检测,并且还具有很高的分辨力。这些特性使得DOA估计在声呐、通信及雷达等多种领域具有广阔的应用和发展前景。此程序为传播算子doa估计算法(direction of arrival,usually called DOA,has been an important subject
  3. 所属分类:其他

    • 发布日期:2020-05-26
    • 文件大小:1024
    • 提供者:Wesker6309
  1. 超声波测距(LCD1602显示)

    0下载:
  2. #include<reg51.h> #include<intrins.h> #include"lcd.h" sbit Trig = P2^1; sbit Echo = P2^0; unsigned char PuZh[]=" Pechin Science "; unsigned char code ASCII[15] = {'0','1','2','3','4','5','6','7','8','
  3. 所属分类:其他嵌入式/单片机内容

  1. ser2net(支持http协议)

    1下载:
  2. 修改了ser2net 2.7,能支持http协议,可以当做http客户端或者http服务器
  3. 所属分类:嵌入式Linux

« 1 2 ... 26 27 28 29 30 3132 33 34 35 36 ... 50 »
搜珍网 www.dssz.com