CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - .z

搜索资源列表

  1. DWL-G122_drv_revC_1-1-1-0-linux_all_en_20071227.z

    0下载:
  2. somethink u need to your linux if u think use a network card
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:959438
    • 提供者:Christian
  1. VimicroUSBPCCameraZS0211

    0下载:
  2. Vimicro USB PC Camera ZS0211 Video Capture
  3. 所属分类:USB develop

    • 发布日期:2017-04-09
    • 文件大小:1216520
    • 提供者:Erick
  1. DSP_MATLAB

    0下载:
  2. 关于数字信号处理的MATLAB例程,包括随机信号产生,线性调频Z变换,卷积-MATLAB on digital signal processing routines, including the random signal generation, chirp Z transform, convolution
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1097
    • 提供者:张兆伟
  1. CDMA-PC-Suit-C08B144

    0下载:
  2. 华为CDMA手机通用驱动程序和电脑客户端PC Suit软件。-huawei CDMA PC Suit
  3. 所属分类:Communication-Mobile

    • 发布日期:2016-01-25
    • 文件大小:16518144
    • 提供者:李继青
  1. android-1.5-cupcake-src

    0下载:
  2. google android1.5平台的源码-google android sources
  3. 所属分类:android

    • 发布日期:2017-06-14
    • 文件大小:22606839
    • 提供者:wuhao
  1. CFileDialog

    0下载:
  2. 一个定制CFileDialog对话框的实例,是用VC实现的,欢迎大家下载-A custom CFileDialog dialog example is the use of VC to achieve, welcome you to download
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:78897
    • 提供者:
  1. OGL1-v2

    0下载:
  2. 基于z-buff算法的立方体消隐,方向键控制立方体的旋转-Z-buff algorithm based on cube blanking, the arrow keys to control the rotation of the cube
  3. 所属分类:OpenGL program

    • 发布日期:2017-04-06
    • 文件大小:314990
    • 提供者:林勇
  1. Archive

    0下载:
  2. 实现一个三维点(x,y,z)的Point类。包含一个构造函数,一个复制构造函数,一个把这个点变成负的函数negate( ),一个返回到(0,0,0)点的距离的函数norm( )和一个print( )函数。 (1)为类Point实现一个重载的赋值运算符。 (2)为类Point实现重载的流插入运算符。 (3)为类Point实现重载的比较关系运算符==和!=。 (4)为类Point实现重载的加法+和减法运算符-。 (5)实现一个重载的乘法运算符*来返回两个Point类对象的点积。
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-03
    • 文件大小:5313
    • 提供者:zengzeng
  1. GprMaxV2.0

    7下载:
  2. 一款优秀的基于FDTD的探地雷达仿真软件-A GPR simulation tool
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2016-05-16
    • 文件大小:2570837
    • 提供者:
  1. DASGDEMOS.ZIP

    0下载:
  2. 这是一个TMS Demo大全,方便大家在使用TMS控件时能快速了解TMS控件的特性,加快开发速度,缩短开发周期!-This is a TMS Demo Daquan, to facilitate the use of TMS control you can quickly understand the characteristics of TMS control, and speed development and shorten the development cycle!
  3. 所属分类:source in ebook

    • 发布日期:2017-03-26
    • 文件大小:713656
    • 提供者:sxfyyzandy
  1. GPS-Matlab

    5下载:
  2. 一个GPS课程的全部代码,含有数据!很实用-MATLAB GPS scr iptS-- Charles Meertens and Doug Miller, U. Utah 3Jan95 These scr ipts were developed for/during a class in GPS and Active Tectonics Department of Geology and Geophysics, U. Utah, Spring, 1994 EXAMPLE RIN
  3. 所属分类:matlab例程

    • 发布日期:2012-11-01
    • 文件大小:816636
    • 提供者:王楠
  1. libsynk-current

    0下载:
  2. Libsynk library for gtsnets.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-03-27
    • 文件大小:130710
    • 提供者:mian
  1. weibo4android-1.2.0

    0下载:
  2. 新浪微博android版,Android与新浪微博互动!-Sina microblogging android version
  3. 所属分类:android

    • 发布日期:2017-03-26
    • 文件大小:785356
    • 提供者:kaka
  1. zip-dllsr4_VC

    0下载:
  2. VC实现的ZIP压缩和解压缩算法,生成DLL动态链接库函数-VC implementation ZIP compression algorithm, DLL version
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-23
    • 文件大小:269892
    • 提供者:朱毅华
  1. CDM2.06.00WHQLCertified

    1下载:
  2. 官方下载的FT232的驱动程序,安装非常稳定,不再需要经常插拔USB口.-FT232 official download drivers, installation is very stable and not require frequent plug USB port.
  3. 所属分类:SCM

    • 发布日期:2015-06-11
    • 文件大小:897812
    • 提供者:skyriver
  1. multiplier-accumulator(vhdl)

    1下载:
  2. 用VHDL语言描述和实现乘法累加器设计,4位的被乘数X和4位的乘数Y输入后,暂存在寄存器4位的寄存器A和B中,寄存器A和B的输出首先相乘,得到8位乘积,该乘积再与8位寄存器C的输出相加,相加结果保存在寄存器C中。寄存器C的输出也是系统输出Z。(原创,里面有乘法部分和累加部分可以单独提出来,很好用) -With the VHDL language to describe the design and realization of multiplier-accumulator, four of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:967180
    • 提供者:jlz
  1. spy

    0下载:
  2. delphiSPY++简化代码,获取窗口以控件句柄-delphiSPY++ to simplify the code to control access to the window handle
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-01
    • 文件大小:184718
    • 提供者:BB离习
  1. swrc126c

    1下载:
  2. Zigbee ZStack-2.3.1-1.4.0 (2010/9/1) 最新ZStack Source Code, include HomeAutomaction, Smart Energy, Application Examples-Zigbee ZStack-2.3.1-1.4.0 (2010/9/1) ZStack Source Code, include HomeAutomaction, Smart Energy,Application Examples
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2013-07-03
    • 文件大小:61328812
    • 提供者:Ewing
  1. 3Dcuberotatiohiddensurfaceelemenation

    0下载:
  2. 使用mfc编写的3d立方体旋转和消隐演示,采用z-buffer方式简单实现。包含文档-A 3d cube rotation & hidden layer elemenating demonstration using mfc through z-buffer method.Developing document included
  3. 所属分类:3D Graphic

    • 发布日期:2017-04-09
    • 文件大小:1965318
    • 提供者:wxj
  1. 《Visual C++项目案例导航》源代码

    1下载:
  2. 《Visual C++项目案例导航》源代码- "Visual C Project Case Navigation" source code
  3. 所属分类:source in ebook

    • 发布日期:2017-11-09
    • 文件大小:12426025
    • 提供者:lanlamer
« 1 2 ... 29 30 31 32 33 3435 36 37 38 39 ... 50 »
搜珍网 www.dssz.com