CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - C3

搜索资源列表

  1. a1 a2 a3 b1 b2 b3 c1 c2 c3

    0下载:
  2. 根据无人机的POS数据获取九参数,POS数据共七列,分别为影像名,三个方位元素,三个角元素(According to the UAV POS data to obtain nine parameters, POS data in seven columns, respectively, image name, three azimuth elements, three corner elements)
  3. 所属分类:其他

    • 发布日期:2018-01-03
    • 文件大小:224256
    • 提供者:`杨鹏
  1. 02. Matlab

    0下载:
  2. WAVEFAST Perform multi-level 2-dimensional fast wavelet transform. [C, L] = WAVE FAST (X , N, LP, HP) performs a 20 N-level FWT of image (or matrix) X with respect to decomposition filters LP and HP. [C, L] = WAVEFAST(X, N, WNAME) performs the sa
  3. 所属分类:matlab例程

    • 发布日期:2018-01-04
    • 文件大小:3072
    • 提供者:Hoang Cuong
  1. OPC_SMART1

    0下载:
  2. 西门子PLC smart 200 与 计算机 端 通过OPC 通信 ,用C# 编写(SIEMENS PLC smart 200 communication with the computer terminal by OPC, written in C#)
  3. 所属分类:通讯编程

    • 发布日期:2018-04-20
    • 文件大小:69632
    • 提供者:GONGmj
  1. coherence-cube - 001

    1下载:
  2. 典的三代相干体算法C1/C2/C3,用于地震资料解释中(compute coherency in seismic interpretation)
  3. 所属分类:matlab例程

    • 发布日期:2018-04-20
    • 文件大小:1024
    • 提供者:李丽qwe
  1. c3

    0下载:
  2. CAD MODEL FOR THE PRACTICE OF 1ST YEAR STUDENTS
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:120832
    • 提供者:rocky_639
  1. si四位加法器

    0下载:
  2. 内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl of full_adder is begin s&
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:28672
    • 提供者:小柠
  1. 2018-51MCM-Problems

    0下载:
  2. 5 kinds of genetic algorithms to solve multiple traveling salesman problem (mtsp) matlab program 5, respectively, the following situations: 1. Back to the starting point a different starting point (TSP fixed amount) 2. Back to the starting point a di
  3. 所属分类:其他

    • 发布日期:2018-05-04
    • 文件大小:1433600
    • 提供者:zhangsanz
  1. Domain decomposition for hyperbolic equations

    1下载:
  2. 双曲方程的域分解,该模型显示了如何使用域分解技术求解迭代算法。 系数形式PDE u1(c4)求解u1 系数形式PDE u2(c)求解u2 系数表PDE v1(c2)将u1存储到v1 系数表PDE v2(c3)将u2存储到v2 然后计算并迭代如下: 1.计算初始化U 2.在“ LOOP”>“ Step1”>“变量值未解决”中:选择“解决方案”:“ Init U”,然后“计算” 3.在“ LOOP”>“ Step1”>“变量值未解决”中:选择“解决方案:LOOP”,然后根据需
  3. 所属分类:其他

    • 发布日期:2020-11-18
    • 文件大小:143360
    • 提供者:WilderPO
« 1 2 ... 6 7 8 9 10 11»
搜珍网 www.dssz.com