CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - LCD显示

搜索资源列表

  1. 18f240128

    0下载:
  2. pic18f4580上实现lcd显示(t6963) 显示为128*128(可用于240*128lcm)-pic18f4580 realize lcd display (t6963) showed 128 * 128 ( can be used 128lcm 240 *)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:131796
    • 提供者:张文治
  1. 9.2_LCD_PULSE

    1下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.2 具有LCD显示单元的可编程单脉冲发生器   9.2.1 LCD显示单元的工作原理   9.2.2 显示逻辑设计的思路与流程   9.2.3 LCD显示单元的硬件实现   9.2.4 可编程单脉冲数据的BCD码化   9.2.5 task的使用方法   9.2.6 for循环语句的使用方法   9.2.7 二进制数转换BCD码的硬件实现   9.2.8 可编程单脉冲发生器与显示单元的接口
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5267
    • 提供者:宁宁
  1. HelloLCD

    0下载:
  2. 蓝牙LCD显示部分的原代码,软件需CSR的bluelab进行编译,再加上它的开发板-Bluetooth LCD display part of the original code, the software required CSR bluelab compile, coupled with its development board
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:1122209
    • 提供者:罗波
  1. TIMEandALARM

    0下载:
  2. 自己学习单片机期间 自己遍的一个 LCD 显示模块 可以调整时间并且哟闹铃功能,有温度传感控制的一个汇编程序-their learning during their times of a LCD display module can be adjusted time and alarm functions yo, a temperature sensor control of a compilation process
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:103098
    • 提供者:windmed
  1. smart_car

    0下载:
  2. 智能车源代码,实现按指示变速功能,包括lcd显示速度,路程,时间等功能的实现-smart cars source code, in accordance with instructions to achieve variable functions, including lcd display speed, distance, function of time to achieve
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:3197
    • 提供者:梁生
  1. Timerwithlcd

    0下载:
  2. 基于61A的时钟 LCD显示程序,非常的简洁,实用-61A on the clock LCD display program, very concise, practical
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:107727
    • 提供者:周周
  1. 423api

    0下载:
  2. LCD显示源码,接法在我的博客上 http://blog.sina.com.cn/bufengmobuchenghuo-LCD display source, then in my blog on http : / / blog.sina.com.cn / bufengmobuchenghuo
  3. 所属分类:uCOS开发

    • 发布日期:2008-10-13
    • 文件大小:125017
    • 提供者:lycon
  1. lcddisplay20070426

    0下载:
  2. 图形LCD显示,汇编语言,已经调试通过,-graphic LCD display, compiled language, debugging has been passed,
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1257
    • 提供者:blackfin
  1. LCDGisimagesystem

    0下载:
  2. 本系统是基于LCD图文显示系统,很方便的用于LCD显示的上位机部分。-the system is based on LCD Graphic Display System, is a convenient LCD display for the PC part.
  3. 所属分类:对话框与窗口

    • 发布日期:2008-10-13
    • 文件大小:394764
    • 提供者:田红莲
  1. TestCLOCK

    0下载:
  2. c8051f020单片机日历时钟LCD显示程序~-C8051f020 SCM Calendar Clock LCD display program ~
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:18003
    • 提供者:吴访梅
  1. C8051f330

    0下载:
  2. 一些C8051f330的C程序,包括串口通信,键盘,lcd显示等。-some C8051f330 C procedures, including serial communication, keyboard, lcd display.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:23220
    • 提供者:施贤
  1. SETPFINISHA

    1下载:
  2. DS1302,DS1602,时间设置,lcd显示-DS1302 and DS1602, the set-up time, lcd show
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:116939
    • 提供者:pop
  1. Speed123456789

    0下载:
  2. 自行车测速PROTUES防真,包含源码,测量速度由LCD显示-bicycle gun PROTUES defense really contains source code, Measuring speed by the LCD display
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:50613
    • 提供者:17papa
  1. 128x64KS010

    0下载:
  2. lcd显示程序,很好用的 多多交流啊-lcd display program, the good conduct more exchanges ah.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3209
    • 提供者:jimgreen
  1. Smart_car_control

    0下载:
  2. 智能车控制,基于c51,LCD显示,带模式选择功能,可选择直线,S线,全自动模式,统计运行情况-intelligent car control, based on the decoder, LCD display, with mode selection function, the option of straight lines, S Line, Automatic mode operation of the Statistics
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9870
    • 提供者:梁生
  1. Source45452

    0下载:
  2. c8051f020的lcd显示 可以直接应用 lcd是12864,驱动器ks0108-C8051f020 the lcd can show direct application lcd is 12,864. Drivers ks0108
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:58709
    • 提供者:沈杰
  1. ps2_lcd_1602

    0下载:
  2. 与PS2的通信,PS2按键值发给LCD显示,VHDL语言。-communication with the PS2, PS2 keys to the value of LCD Display, VHDL.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:579647
    • 提供者:jerry
  1. DJDPLJ_T

    0下载:
  2. 本VHDL源代码由顶层模块、测频模块、驱动模块、计算模块、LCD显示模块、复位模块组成,能精确检测从1--100M频率,误差极小且恒定。-the VHDL source code from the top module, measuring frequency module, driver modules, modules, LCD display module, reduction modules, can be used to accurately detect from 1 -- 100M
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:481224
    • 提供者:刘刚
  1. lab-Lcd

    0下载:
  2. 从中可以观察到,液晶显示从0--9的计数.F2812实验-from which one can observe that LCD from 0 -- 9 count. Experimental F2812
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:8420
    • 提供者:于海宾
  1. lcd-show

    0下载:
  2. lcd_show c51程序,显示部分C语言程序-lcd_show decoder procedures, as some C language program
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:13841
    • 提供者:胡先生
« 1 2 ... 38 39 40 41 42 4344 45 46 47 48 49 50 »
搜珍网 www.dssz.com