CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - QuartusII

搜索资源列表

  1. verilog--divide-programs

    0下载:
  2. verilog任意分频程序,包括奇数倍分频和偶数倍分频,占空比为50 ,QuartusII上验证程序有效-verilog every divide programs, including an odd multiple divider and even multiple frequency, duty cycle 50 , the QuartusII on the verification process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:578123
    • 提供者:ni husheng
  1. fenpinqisheji

    0下载:
  2. 设计的是一个带复位的分频器,输入时钟为60MHz,输出时钟为7.5MHz。经过quartusII仿真过了的-The design is a reset of the divider, the input clock is 60MHz, the output clock is 7.5MHz. After quartusII simulation over the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:54224
    • 提供者:黄宏
  1. key_test

    1下载:
  2. verilog HDL编写的在quartusii环境下的24秒倒计时代码-verilog HDL the quartusii environment in the 24 seconds countdown code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-10
    • 文件大小:1024
    • 提供者:马海林
  1. QuartusII-about-warning

    0下载:
  2. 主要是介绍quartus编译过程中出现的问题和解决方法,希望对大家有帮助-Introduce the quartus compile process problems and solutions, I hope to you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:11678
    • 提供者:dp
  1. mux21a

    0下载:
  2. 应用QuartusII 完成基本组合电路设计-The application QuartusII completion of basic combinational circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:18851
    • 提供者:
  1. mul1617

    0下载:
  2. 采用verilog RTL级语言,实现了有符号的16位乘17位的乘法器。特点是:采用流水的结构,可以在一个周期内处理完数据。通过QuartusII和Modulesim的功能仿真和时序仿真,并得到正确结果。-Realize the signs of 16 of the 17 patients take on time-multiplier. Features are: the structure of water, can be in a cycle processes the data. Thr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1168
    • 提供者:李小白
  1. 7_SEGMENTLED

    0下载:
  2. 在DE2开发板上,通过在Altera QuartusII软件中编写.v代码,驱动DE2开发板上的7段数码管。-DE2,verilog,altera quartusII,7segmentled
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:444215
    • 提供者:
  1. quartus2

    0下载:
  2. 这是一本quartusII的中文经典教程,内容丰富,讲解详细,非常值得一看-This is a quartusII Chinese classic tutorials, rich in content, the explanation is detailed, extremely is worth a look
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3100096
    • 提供者:fairy
  1. IR

    0下载:
  2. FPGA实现的红外IR解码程序,已成功通过Quartus编译,可实现红外正确接收和数据解码提取。-This is a verilog IR decoding program. It has been already compiler through the QuartusII.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2442
    • 提供者:水之乡
  1. FPGA-and-SOPC

    0下载:
  2. quartusII中有个sopcbulider工具,此教程很好的介绍了在DE2-70板上如何使用sopcbulider和NIOSII.-It have sopcbulider tool quartusII this tutorial a good introduction to how to use sopcbulider and NIOSII- based on the DE2-70 board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-21
    • 文件大小:36523942
    • 提供者:艾馨
  1. QuartusPII-USETestbench-MELTHD

    0下载:
  2. QuartusII使用modelsim的方法-QuartusII use modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:86541
    • 提供者:李璞玉
  1. pinlvji

    0下载:
  2. 简单介绍EDA技术的发展现状,着重介绍基于EDA技术的可编程逻辑器即八位数字频率计的设计方案选择、原理图设计输入、原理图编译和仿真等操作,比较完整的说明了八位数字频率计的功能与作用和使用QuartusII软件进行可编程逻辑器件设计的操作流程。 -A brief introduction of EDA technology development present situation, introduced the EDA technology based on programmable log
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:990799
    • 提供者:zhanglun
  1. fft256

    1下载:
  2. 利用quartusII提供的FFT IPcore设计的256位的FFT,并附有testbench文件-Provided by quartusII the FFT IPcore design 256 FFT with testbench file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-04-22
    • 文件大小:45809664
    • 提供者:Wide
  1. traffic-light

    0下载:
  2. 用vhdl编写的交通灯程序,开发平台为quartusII。调试好的工程文件,可直接下载到实验箱-Written with vhdl traffic light program, the development platform for quartusII. Good debugging project files can be downloaded directly to the experimental box
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:87170
    • 提供者:杨倩
  1. Sdram_Control_4Port

    0下载:
  2. 使用verilog HDL写的sdram(SDR)的控制器源代码,具有很好的可移植性,试验的例子已经通过QuartusII 9.0编译通过,可以运行在cycloneII上-Controller source code using verilog HDL written in the sdram (SDR), has good portability, test examples via the QuartusII 9.0 compiler, you can run in cycloneII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:20464
    • 提供者:李立鸣
  1. FM_DemodNew

    2下载:
  2. FM接收机 基于FPGA的调频收音机的设计 用VEIRLOG语言编程,利用QUARTUSii与MODELSIM联合仿真-FM receiver on FPGA FM receiver design With VEIRLOG language program, use QUARTUSii and MODELSIM joint simulation
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:8846732
    • 提供者:
  1. miniprinter

    1下载:
  2. 微型打印机模块实验.rar;基于FPGA-2C35核心;博创实验箱平台。 在quartusII里面添加uart核,nios II的Console构成人机交互界面,串口与微型打印机通信,打印出数据。 -Micro printer module experiment rar core on the FPGA-2C35 Borch experimental box platform. QuartusII inside to add the uart nuclear, nios II Con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-04-23
    • 文件大小:13145088
    • 提供者:
  1. dianzheng

    0下载:
  2. 能在FPGA的板子上实现点阵的功能,利用QuartusII软件-Lattice function, use QuartusII software on the FPGA board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:381096
    • 提供者:顾超
  1. Pseudo-random-sequence-generator

    0下载:
  2. 通过MATLAB的SIMULINK模型设计,实现伪随机数的序列发生器,并通过DSP BUILDER中的SIGNAL COMPILER转换成QuartusII工程,并实现硬件的下载。-Through the MATLAB SIMULINK model design, realization of pseudo random sequence generator, and through the DSP BUILDER of SIGNAL COMPILER converted into Quartu
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:532769
    • 提供者:高丽红
  1. Controllable-sine-signal-generator

    0下载:
  2. 通过MATLAB的SIMULINK模型设计,实现可控正弦信号发生器,并通过DSP BUILDER中的SIGNAL COMPILER转换成QuartusII工程,并实现硬件的下载。-Through the MATLAB SIMULINK model design, realization controllable sine SIGNAL generator, and through the DSP BUILDER of SIGNAL COMPILER converted into QuartusI
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:728639
    • 提供者:高丽红
« 1 2 ... 15 16 17 18 19 2021 22 23 24 25 ... 30 »
搜珍网 www.dssz.com