CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - RS VHDL

搜索资源列表

  1. venomgen

    0下载:
  2. venomgen - C source code of VHDL code generator for CRC, BCH and RS encoder -venomgen- C source code of VHDL code generator for CRC, BCH and RS encoder * polynomials can be entered via command line * variable bus width * automatic testbench
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:257702
    • 提供者:Michael Lau
  1. VHD

    0下载:
  2. RS编码中用到的交织和去交织程序,VHDL描述,交织深度8-nterlace with VHDL,depth is 8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2675
    • 提供者:xhnhd
  1. rs232_transmit_control

    0下载:
  2. RS-232 transmir control programmed in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:921
    • 提供者:JT_LADINO
  1. byzxin_RS232

    0下载:
  2. RS 232 interface vhdl language programme for video processing pcb board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1710425
    • 提供者:tsincons
  1. Trigger

    0下载:
  2. 各类触发器VHDL源码程序,在quartus-ii7.2版本上测试通过,文件中包括D触发器,JK触发器,RS触发器,T触发器。-Various triggers VHDL source code program in quartus-ii7.2 version of the test is passed, the document includes a D flip-flop, JK flip-flop, RS flip-flop, T flip-flop.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:925932
    • 提供者:baoguocheng
  1. asynchronous-sequential-circuits

    0下载:
  2. 利用基本RS触发器设计电平异步时序电路的方法 此文档帮助读者设计数字逻辑电路,并非VHDL语言实现-The use of the basic RS flip-flop design level asynchronous sequential circuits This document is to help readers design digital logic circuits, not the VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:798296
    • 提供者:东方不败
  1. PipelineCPU

    0下载:
  2. 用Verilog HDL语言或VHDL语言来编写,实现多周期CPU设计。能够完成以下二十二条指令。(均不考虑虚拟地址和Cache,并且默认为大端方式): add rd, rs, rt addu rd, rs, rt addi rt, rs, imm addiu rt, rs, imm sub rd, rs, rt subu rd, rs, rt nor rd, rs, rt xori rt, rs, imm clo clz slt rd, rs, rt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:5078835
    • 提供者:徐帆
  1. mulitcpu

    0下载:
  2. 用verilog HDL语言或者VHDL语言来编写,实现多时钟周期CPU的设计。能够完成以下二十二条指定(均不考虑虚拟地址和Cache,并且默认为小端方式): add rd, rs, rt addu rd, rs, rt addi rt, rs, imm addiu rt, rs, imm sub rd, rs, rt subu rd, rs, rt nor rd, rs, rt xori rt, rs, imm clo clz slt rd, rs,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8876750
    • 提供者:徐帆
  1. 091220111singalcpu

    0下载:
  2. 用verilog HDL语言或者VHDL语言来编写,实现单周期CPU的设计。能够完成以下十六条指定: add rd, rs, rt addu rd, rs, rt addi rt, rs, imm addiu rt, rs, imm sub rd, rs, rt subu rd, rs, rt nor rd, rs, rt xori rt, rs, imm clo clz slt rd, rs, rt sltu rd, rs, rt slti
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9529357
    • 提供者:徐帆
  1. rs232

    0下载:
  2. this is vhdl code. purpose of rs-232 connected with altera cyclone2.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:94436
    • 提供者:kimjuhyun
  1. RS_coding_123

    0下载:
  2. RS编码的实现,包括C语言,C++,java,VHDL,DSP,matlab的RS编码实现,代码全部调是通过。-RS encoded, including the C language, C++, java, VHDL, DSPs, Matlab the RS coding, the code all the tune through.
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-31
    • 文件大小:825638
    • 提供者:沈宙
  1. rs232_receiver

    0下载:
  2. receiver RS-232 programmed in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2934
    • 提供者:JT_LADINO
  1. rs422

    1下载:
  2. RS-422的VHDL实现,代码测试能用-RS-422 VHDL implementation code test can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-02
    • 文件大小:991232
    • 提供者:PETER
  1. 188135a9844b

    0下载:
  2. rs(204,188)译码器VHDL语言源代码-failed to translate
  3. 所属分类:Compiler program

    • 发布日期:2017-11-26
    • 文件大小:14048
    • 提供者:周达
  1. 82be270ea751

    1下载:
  2. RS(255,239)编码器的VHDL语言源代码,希望能对大家有一定帮助-the code of the encoder of rs(255,239),hope can help you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:4047
    • 提供者:周达
  1. rschufaqi

    0下载:
  2. 此程序是根据rs触发器的功能用VHDL语言描述的RS触发器,供同学们学习交流-This program is based on the RS flip-flop rs flip-flop functions described in VHDL language for students learning exchanges
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:112007
    • 提供者:魏银玲
  1. URAT

    0下载:
  2. 在ISE环境下,用VHDL语言实现RS232串口设计,实现串口通信。通过串口调试工具向 0000000UART发送16进制数,FPGA将UART接收到的串行数据转换为并行数据,并在8个 LED灯上输出显示;同时,并行数据又被重新转换为串行数据,重新送给RS-232接口,并在 串口调试工具上再次显示,SW0为复位键。 比如:串口调试工具发送两位16进制数,然后能在LED上显示,并且重新在串口调试工 具上显示。串口调试工具设置:波特率设为9600,默认奇校验。-In the IS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:403088
    • 提供者:panda
« 1 2 3 4»
搜珍网 www.dssz.com