CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VERILOG fifo

搜索资源列表

  1. simple_fifo

    0下载:
  2. verilog HDL原码 一种简单的同步FIFO原码,可以被综合-verilog HDL original code a simple synchronous FIFO original code, which can be integrated
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1467
    • 提供者:zxz
  1. fifo_datapath

    0下载:
  2. verilog实现,串转并通过fifo再并转串,可以满足输入速率自由输出的一半时,输出仍可持续发送-verilog achieved, and through serial switch and switch again fifo Series, Rate free importation to meet half of the output, the output is still sustainable Send
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2427
    • 提供者:seiji
  1. rs_decoder_31_19_6.tar

    1下载:
  2. Hard-decision decoding scheme Codeword length (n) : 31 symbols. Message length (k) : 19 symbols. Error correction capability (t) : 6 symbols One symbol represents 5 bit. Uses GF(2^5) with primitive polynomial p(x) = X^5 X^2 + 1
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-22
    • 文件大小:14247
    • 提供者:孟轲敏
  1. !061210[1].pdf

    0下载:
  2. 基于FPGA的异步FIFO的软硬件实现,通过VERILOG编程实现后下载到FPGA芯片
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:242001
    • 提供者:youren
  1. syn_fifo

    0下载:
  2. 同步FIFO的verilog编码 -synchronous FIFO verilog coding synchronous FIFO verilog Synchronous Code FI FOR the verilog coding synchronous FIFO verilog coding
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1217
    • 提供者:garfee
  1. fifo_ver_131

    0下载:
  2. fifo verilog hdl 源程序-fifo verilog hdl source
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:20831
    • 提供者:zlw
  1. FIFO_v

    0下载:
  2. FIFO的verilog实现,内附testbench和文档说明-FIFO verilog achieve, enclosing testbench and documentation shows
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:175386
    • 提供者:wutailiang
  1. FIFO_Syn

    0下载:
  2. 同步FIFO功能,verilog语言描述,通过了modelsim 6.0 仿真,Quartue综合
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:26159
    • 提供者:shenyunfei
  1. 4VerilogFIFO

    0下载:
  2. 一种新的FIFO实现方法,verilog描述,通过modelsim 6.0 仿真,Quartue综合
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2793
    • 提供者:shenyunfei
  1. eetop.cn_FIFO_Buffer

    0下载:
  2. 异步FIFO的Verilog程序及其测试程序(FPGA/Verilog FIFO_ASYN)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:68608
    • 提供者:半岛铁盒
  1. sdtest

    0下载:
  2. 这个是一个verilog程序,可以用spi读取sd卡中的内容,存到fifo中(This project can read the data from SD card through SPI interface and store the data in FIFO.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:13569024
    • 提供者:jyc
  1. fifo_controller

    0下载:
  2. 用verilog语言实现FIFO控制器,控制FIFO的读写过程,有空满标志(Implementing the FIFO controller)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:84992
    • 提供者:牛啊你
  1. sfifo

    0下载:
  2. fifo 控制器,也是转载的,主要是为了积分(A fifo controller verilog descr iption.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:1024
    • 提供者:123yyy
  1. uart_fifo_n

    0下载:
  2. verilog 带fifo的串口收发模块(verilog uart with fifo)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:7583744
    • 提供者:yxsheron
  1. tx_interface_project

    0下载:
  2. 带FIFO的串口发送模块,简单的FPGA串口发送模块(Serial transmission module with FIFO)
  3. 所属分类:串口编程

    • 发布日期:2018-05-02
    • 文件大小:850944
    • 提供者:lionel_messi
  1. FPGA_USB2.0设计

    0下载:
  2. 把FX2配置成从FIFO的模式, 配置为单片机工作时钟24M,端点2输出,字节1024,端点6输入,字节1024,信号全设置为低电平有效等。我们的模块驱动时钟我们配置成内部输出时钟,也就是让FX2给我们的设计当做时钟源,输出一个最大的配置时钟48M的时钟。(The FX2 is configured from FIFO mode, configured as MCU working clock 24M, endpoint 2 output, byte 1024, endpoint 6 input
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:430080
    • 提供者:硅渣渣
  1. sobel

    1下载:
  2. 由Verilog编写在FPGA实现sobel算法应用于图像边缘检测,工程文件可在quartus13.1以上版本打开;工程使用到ram、fifo、pll三种ip核,design文件夹下包含ram、fifo、vga控制以及串口收发和sobel算法模块,sim和doc文件夹下分别包含modelsim的仿真模块和仿真结果;测试时将200*200分辨率的图片用matlab文件夹下的matlab脚本压缩、二值化,再将生成文件中数据用串口发给FPGA,边缘检测结果会通过VGA输出。(Written by Ve
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-07-14
    • 文件大小:10222592
    • 提供者:丶大娱乐家
  1. FIFO_UVM

    1下载:
  2. fifo uvm this is total fifo tb with uvm including score board with total uvm_topology with test cases with rtl giving proper output(this is total fifo tb with uvm including score board with total uvm_topology with test cases with rtl giving prop
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-05-27
    • 文件大小:231424
    • 提供者:gana123
  1. 通信协议FPGA

    0下载:
  2. 本设计是基于FPGA的高速并行接口通信接口和协议设计,该设计使用的是8 位并行接口,通过配置FPGA的FIFO寄存器保证了在高速并行下的数据稳定性,在 最终的测试中,该协议能够稳定传输的速度为80Mbps。(This design is based on FPGA high-speed parallel interface communication interface and protocol design, the design uses 8 Bit parallel interface
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-17
    • 文件大小:19605504
    • 提供者:蔺娇娇
  1. Verilog实例代码

    0下载:
  2. 一些verilog模块的代码与tb,常见的同步异步FIFO,RAM和适合新手学习
  3. 所属分类:硬件设计

« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 18 »
搜珍网 www.dssz.com