CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. shijinzhi_jishuqi

    0下载:
  2. 基于VHDL语言的十进制计数器,通过功能仿真,完全实现-Decimal counter based on VHDL language, through simulations, the full realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:167367
    • 提供者:liu
  1. my_counter10_test2

    0下载:
  2. 本程序是用vhdl语言来描写的10进制计数器-decimal counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:231423
    • 提供者:yang
  1. VHDLBasicExperimentSJTU

    0下载:
  2. 上海交大几个基础VHDL 实验的代码,包括分频器,计数器,七段计数器,状态机,锁存器等-Shanghai Jiaotong University and a few experiments of basic VHDL code, including the frequency divider, timer, seven segment counter, state machines, latches, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:864836
    • 提供者:魏玉萍
  1. counter

    0下载:
  2. 计数器VHDL编程代码,采用自顶向下的设计方法编写程序-Counter VHDL programming code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:204719
    • 提供者:张双锋
  1. jz_6

    0下载:
  2. 基于VHDL语言编写的六进制计数器-6 system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:248232
    • 提供者:仇之东
  1. mycounter1_100_test

    0下载:
  2. 使用触发器组成原理图形成100进值计数器、以及代码部分,用vhdl编写十进制计数器串联之后组成100进值计数器-100 counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1884723
    • 提供者:冉斌
  1. cnt1000

    0下载:
  2. 一千的计数器vhdl的语言设计,程序简单易懂,易于初学者掌握,希望给大家带来方便-A thousand counter vhdl language design, the program is simple and easy to understand, easy for beginners to master, I hope to bring convenience
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:600
    • 提供者:王龙飞
  1. jishuqi

    0下载:
  2. vhdl简单的脉冲计数器程序,初学者可以用来借鉴,没有错误!经实验验证,完美运行-vhdl pulse counter program, beginners can be used to learn there are no errors! The experiments prove that the perfect run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:624
    • 提供者:
  1. counter_up_down

    0下载:
  2. VHDL语言写的可逆计数器,两路输入,可加可减-VHDL language of the reversible counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:61979
    • 提供者:zhangyi
  1. counter

    0下载:
  2. 这是一个计数器的代码,用vhdl编写,实现循环技术功能-this is a counter used to count numbers in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:670
    • 提供者:zz
  1. EMP7128S

    0下载:
  2. EMP71285 CPLD实现三通道24位计数器,程序为.VHDL语言实现,同时程序中含有低通滤波算法。-EMP71285 CPLD implementation of three-channel 24-bit counter, the program for the VHDL language, the program contains a low-pass filtering algorithm.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:270458
    • 提供者:绍文
  1. 2

    0下载:
  2. VHDL百位计数器,实现计数功能,适合初学者学习-VHDL one hundred-bit counter counting function, suitable for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:284148
    • 提供者:li
  1. counter2

    0下载:
  2. spartan-3e fpga vhdl 实现的计数器 记满后点亮小灯-spartan-3e fpga vhdl counter to light led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:280749
    • 提供者:朱飞亚
  1. trafficlight

    0下载:
  2. 基于VHDL的十字路口交通灯控制系统设计与实现,定时器模块由25S、5S、20S三个定时器组成,分别确定相应信号灯亮的时间。三个定时器采用以秒脉冲为时钟的计数器实现。eg、ey、er分别是三个定时器的工作使能信号,tm25、tm5、tm20是三个定时器的计数结束指示信号。 控制模块是对系统工作状态的转换进行控制,根据交通规则可得系统状态转换情况。ar、ay、ag br、by、bg分别表示由控制器输出的A道和B道的红、黄、绿信号灯亮的时间;eg、ey、er分别表示由控制器输出的控制25S、5S
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:185135
    • 提供者:蔡利波
  1. PCM-Coding

    0下载:
  2. VHDL语言实现了PCM采编器,应用计数器、数据选择器实现了PCM编码与传输控制,系统时钟由分频器实现。-VHDL language PCM editing application counter, the data selector PCM encoding and transmission control of the system clock by a divider.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:568584
    • 提供者:汪晨
  1. cx

    0下载:
  2. 变模可逆计数器的VHDL功能描述,是数字锁相环的一个期间的程序-Reversible counter variable mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:739
    • 提供者:
  1. counterms

    0下载:
  2. verilog语言写的可置数的倒计时计数器,共四位bcd码,分别为分钟两位和秒两位。波形完美无毛刺.开发环境没找到verilog只好写了vhdl-verilog based counter for minutes and seconds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:644
    • 提供者:yhl
  1. counter

    0下载:
  2. 应用FPGA中VHDL语言编写计数器程序-Application of VHDL language preparation FPGA counter program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3094
    • 提供者:xiaoyu
  1. EDA

    0下载:
  2. 用VHDL编程实现1位二进制全减器设计和模可变计数器设计-A binary full-cut design and mold variable counter design with VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:230887
    • 提供者:高华
  1. pinlvji_LCD1602

    0下载:
  2. 一个完整的已经过测量和验证的VHDL程序,测量范围从1Hz到1GHz的频率计,也可以当做计数器,通过LCD1602显示频率值,四路独立按键可以控制输出不同的频率值、控制对应的独立LED亮灭、控制蜂鸣器发声。输入的晶振频率是25MHz,不符合请自行在倍频器中更改参数。-Has been a complete VHDL program measurement and verification, measurement range from 1Hz to 1GHz frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1100475
    • 提供者:lcl
« 1 2 ... 14 15 16 17 18 1920 21 22 23 24 25 »
搜珍网 www.dssz.com