CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. PPT

    0下载:
  2. 大学EDA课程的课件以及课后部分习题的程序。包括最基本的加法器、计数器、LED显示以及部分高级VHDL程序。-University of EDA software programs, as well as some after-school exercise procedures. Including the most basic adder, counter, LED display, as well as some high-level VHDL procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8547157
    • 提供者:寂静的璀璨
  1. cnt6

    0下载:
  2. vhdl,无进位同步计数器,完成6进制加,输出6进制序列数-vhdl, non-binary synchronous counter to complete the six binary Canada, output 6, the number of binary sequences
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:37680
    • 提供者:王晓虎
  1. vhdl

    0下载:
  2. 用到了硬件的读写进程,多端口的地址分配,有限资源的计数器编写-Use of the hardware to read and write process, the multi-port addresses allocation of limited resources to prepare counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:363432
    • 提供者:
  1. chap6

    0下载:
  2. 10个VHDL的经典实例,加法计数器中的进程,任务举例,测试程序,函数-10 VHDL classic example of the counter in the process of addition, tasks for example, test procedures, functions. . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2268
    • 提供者:chencong
  1. counterFastSlow

    0下载:
  2. 完整vhdl计数器,多种功能。 stop/ en/ fast/ slow/-Complete vhdl counter, a variety of functions. stop/en/fast/slow /
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1265417
    • 提供者:wwwss
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. Chapter11-13

    0下载:
  2. 第十一章到第十三章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5088147
    • 提供者:xiao
  1. cout60

    0下载:
  2. 用VHDL语言编写的60进制计数器,初学者使用-VHDL language with the 60 binary counter, for beginners to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:556
    • 提供者:QF
  1. motor3_and_motor4

    0下载:
  2. 程序是CPLD双计数器的程序,主要用于电机编码器计数-Program is CPLD pairs of counter procedures, mainly for motor encoder counts
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:864905
    • 提供者:王川
  1. counter6_t10jia

    0下载:
  2. 这是一个用VHDL编写的十进制计数器程序通过编译-This is a work written in decimal counter VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:136323
    • 提供者:huguangzhou
  1. xq_Test7

    0下载:
  2. VHDL语言编写一个BCD计数器并在七段显示数码管上显示的程序,实现了动态扫描,而且很好用-VHDL language a BCD counter and in the seven-segment display digital tube display process to achieve a dynamic scanning, and it just works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:144040
    • 提供者:夏强
  1. baheyouxiji

    0下载:
  2. 拔河游戏机, 1、 设计一个能进行拔河游戏的电路。 2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、 用数码管显示获胜者的盘数。教学提示: 1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。 2、 用可逆计数器的加
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:6109
    • 提供者:kxsh
  1. @1069110219

    0下载:
  2. 基于VHDL计数器的设计与分析 基于VHDL计数器的设计与分析-Based on the analysis and design of VHDL counter
  3. 所属分类:source in ebook

    • 发布日期:2017-05-04
    • 文件大小:1311618
    • 提供者:丁浩群
  1. 8sfdsd

    0下载:
  2. 用VHDL实现的八位可逆计数器,可作为交流学习使用。-VHDL implementation with eight reversible counter can be used as the exchange of learning to use.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-11
    • 文件大小:540
    • 提供者:陆舰宇
  1. clock_counter

    0下载:
  2. 一个简易的时分秒自加计数器,没有设置功能-hour-minute-second counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1862
    • 提供者:Winson
  1. vhdl

    0下载:
  2. 使用 VHDL 描述计数器的设计、综合、仿真的全过程,很好用-Counter design using the VHDL descr iption, synthesis, simulation of the whole process, very good use
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-05-09
    • 文件大小:1946657
    • 提供者:里碰
  1. 74LS160

    0下载:
  2. 源码,VHDL语言编写的74LS160计数器-Source code, VHDL language of the 74LS160 counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:50102
    • 提供者:
  1. ElectronicClockandsimulationwithVHDL

    0下载:
  2. 电子时钟VHDL程序与仿真。包括:10进制计数器设计与仿真,6进制计数器设计与仿真,24进制计数器设计与仿真.-Electronic Clock and simulation of VHDL program. Includes: 10 binary counter design and simulation, 6 binary counter design and simulation, 24 binary counter design and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:63221
    • 提供者:Zhu
  1. VHDL

    0下载:
  2. VHDL语言例程集锦,包括组合逻辑、计数器、移位寄存器、存储器等处理。-VHDL language routines Collection, including the combinational logic, counters, shift registers, memory and other processing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:173199
    • 提供者:liu
  1. VHDL

    0下载:
  2. 一些VHDL的简单实例,包括各种计数器,三人表决器等-Some simple examples of VHDL, including the various counters, three voting machines, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:43271
    • 提供者:dxeicho
« 1 2 3 4 56 7 8 9 10 ... 25 »
搜珍网 www.dssz.com