CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - Verilog Quartus

搜索资源列表

  1. rs-codec(255-223)

    1下载:
  2. RS编码是一种纠错码,本程序实现RS(255,223)用FPGA 实现RS编码,程序在Quartus II中调试通过。-RS coding is an error-correcting codes, the procedures for the realization of RS (255,223) with FPGA realization of RS codes, in the Quartus II program through the debugger.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-03-31
    • 文件大小:20657
    • 提供者:yux
  1. AppendixC_quartus

    0下载:
  2. Quartus appendix - Can be useful if you start using quartus II to code in verilog-Quartus appendix- Can be useful if you start using quartus II to code in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:350825
    • 提供者:boobagump
  1. CLK_V

    0下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的数字钟工程项目,有50MHz分频、计数、译码等模块。采用Verilog语言编写。-Quartus II project files, is a typical FPGA-based digital clock project, there are sub-50MHz frequency, counting, decoding modules. The use of Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:597947
    • 提供者:kg21kg
  1. JIJIAQI

    0下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的计价器工程项目,有有限状态机、50MHz分频、计数、译码、动态扫描等模块。-Quartus II project files, is a typical FPGA-based project of the meter, there are finite state machine, 50MHz frequency, counting, decoding, dynamic scanning module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:795370
    • 提供者:kg21kg
  1. servo_module_worked

    0下载:
  2. verilog pwm to control servo motor on quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:21667
    • 提供者:frankie
  1. PWM

    0下载:
  2. verilog pwm to control servo motor on quartus, with microprocessor generated from sopc and connected with sram-verilog pwm to control servo motor on quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:21571
    • 提供者:frankiecoco
  1. miaobiao

    0下载:
  2. 秒表功能,自带工程,EDA的设计平台QuartusⅡ-Stopwatch functions, bring their own works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1589031
    • 提供者:huliyan
  1. usb-blaster

    0下载:
  2. quartus多种USB-bletera 自制下载线!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2328446
    • 提供者:陈长佳
  1. FPGA_PCI_DATA

    0下载:
  2. 一个基于FPGA的PCI数据发送程序,实现从计算机通过PCI9054向FPGA发送数据功能。开发语言verilog,开发环境quartus-FPGA-based PCI data distribution process, from the computer through the PCI9054 functions to send data to the FPGA. The development of language verilog, development environment qua
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:236917
    • 提供者:李国扬
  1. quartus

    0下载:
  2. quartus中常见错误的解析以及解决办法,主要是VHDL也verilog HDL-Common Errors in quartus and the analytic solutions is mainly VHDL also verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:5689
    • 提供者:彭文彬
  1. DE2_SD_Card_Audio(Modified)

    0下载:
  2. 在DE2开发板上实现的SD卡mp3音乐播放器。硬件部分用Verilog语言编写,在Quartus上编译;软件部分用C语言编写,在Nios2上编译运行。-DE2 development board in the realization of the SD card mp3 music player. Hardware using Verilog language, compiled in the Quartus software with C language, compiled to run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:3018259
    • 提供者:符玉襄
  1. DE2_NIOS_HOST_MOUSE_VGA

    2下载:
  2. 在DE2开发板上实现的VGA输出游戏。硬件用Verilog语言编写,在Quartus上编译;软件用C语言编写,在Nios2上编译运行。把DE2板和显示器键盘连起来即可使用。-Development in the DE2 board game to achieve the VGA output. Hardware using Verilog language, compiled in the Quartus software with C language, compiled to run in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1626672
    • 提供者:符玉襄
  1. DE2_NET

    1下载:
  2. 用DE2开发板实现的网络控制器。硬件用Verilog语言编写,在Quartus上编译;软件用C语言编写,在Nios2上编译运行。程序已经过测试,功能完好。-DE2 development board with the realization of the network controller. Hardware using Verilog language, compiled in the Quartus software with C language, compiled to run in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-12
    • 文件大小:1601868
    • 提供者:符玉襄
  1. 123

    0下载:
  2. 基于quartus的,状态机实现流水灯,verilog HDL语言编写-Quartus-based, the state machine to achieve water lights, verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:235546
    • 提供者:sky
  1. analogue-digi-ana-converter

    0下载:
  2. design and implementation of a format conversion system on the Altera NIOS board(QUARTUS) which reads an analogue input, converts it into digital data, and then does the reverse conversion back into analogue format. This will be done by taking an ana
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1398520
    • 提供者:ak
  1. URAT_VHDL

    0下载:
  2. FPGA采用模块工程文件QUARTUS II工程、ADC0809、电机控制PWM、LCD12864显示控制、UART_VHDL-FPGA module QUARTUS II project engineering documents, ADC0809, motor control PWM, LCD12864 display control, UART_VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:238303
    • 提供者:wangzhaohui
  1. pll

    0下载:
  2. 实现了pll功能,有利于初学者学习pll,采用文本编辑的,利用quartus ii 设计的-Achieved pll function, help beginners learn pll, using a text editor, using quartus ii Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:215888
    • 提供者:ad
  1. jiaotongdeng_Verilog

    0下载:
  2. 十字路口交通灯控制器,是课程的结课设计报告,自己写的verilog语言,在quartus ii环境下仿真,具有参考意义。 -traffic signal controllers and It is a subject design report, written in verilog, quartus ii environment, and can be used with reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:300048
    • 提供者:邓涛
  1. AD7656_Tri

    0下载:
  2. 触发AD7656进行双路采样的触发控制模块 内附QUARTUS生成的bsf文件-AD7656 Dual Trigger to trigger the control module sample included QUARTUS generated bsf file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:676281
    • 提供者:阿飞
  1. Move071221133_32

    0下载:
  2. 用Verilog HDL语言或VHDL语言来编写,实现32位的桶形移位器。 并在Quartus Ⅱ上实现模拟仿真;-With the Verilog HDL language or VHDL language to write to achieve 32-bit barrel shifter. To achieve in the Quartus Ⅱ simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:818259
    • 提供者:于伟
« 1 2 3 45 6 7 8 9 10 ... 26 »
搜珍网 www.dssz.com