CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - XILINX FPGA

搜索资源列表

  1. FPGAkaifa

    0下载:
  2. 赛灵思的FPGA的ISE和EDK软件入门学习和基本使用方法-the introductory learning and basic use of xilinx of the EDK and FPGA ISE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4841641
    • 提供者:wangxin
  1. I2C

    1下载:
  2. I2C/IIC 总线接口驱动,在Altera和Xilinx的FPGA上跑过,Verilog编写,Craftor原创。V1.1。代码中还包含了24C02的读写测试程序,可直接用。-I2C/IIC Bus Driver, written in Verilog, v1.1. By Craftor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2513
    • 提供者:Craftor
  1. XILINXSPARTAN_3Everilog2

    0下载:
  2. XILINX 的SPARTAN_3E 控制液晶显示屏显示字符串的verilog程序-XILINX 的SPARTAN_3E verilog FPGA use Verilog HDL to display a char on the lcd module lcd_control( input clk,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:15867
    • 提供者:lance
  1. NEXYS2_Tutorial

    0下载:
  2. A tutor for beginner to start on a DIGLINE NEXY2 broad with Xilinx spartan3E, shows how to implement a code in to the FPGA broad.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:432827
    • 提供者:DANIEL PAN
  1. serial_communication

    0下载:
  2. 使用Xilinx公司的FPGA,采用Verilog HDL语言实现串口数据的发送与接收。-Using Xilinx' s FPGA, Verilog HDL language used to send and receive serial data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:239595
    • 提供者:张红冉
  1. frequency

    0下载:
  2. 基于XILINX平台设计的数字频率计,在FPGA内部设计信号源,产生100KHz方波,板上数码管用于显示被测信号频率,并显示6位有效数字,实现对TTL电平的测试,测量精度为10Hz。-: The digital frequency meter based on XILINX development terrace generates 100 KHz square waves by a supply oscillator within FPGA. The nixietubes of the boa
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-08
    • 文件大小:124053
    • 提供者:wen
  1. gj-2s

    0下载:
  2. 基于赛灵思EXCD-1的FPGA开发板,使用ISE10.1开发环境,使用VHDL语言编写,功能为计算输入方波的频率。输入方波,输出方波的频率,用数码管显示,每2s更新一次。管脚配置见工程。-Based on the FPGA Xilinx EXCD-1 development board, using ISE10.1 development environment, using the VHDL language, functions for calculating the frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:873233
    • 提供者:希望
  1. FPGAspwm

    0下载:
  2. 在Xilinx公司的Spartan ⅡE系列的XC2S100E pq-208 FPGA芯片上完成PWM波和SPWM波控制信号,控制电力电子器件IGBT和MOSFET构成的斩波、逆变输出电路,实现直流稳压和SPWM交流调频输出。-In Xilinx' s Spartan Ⅱ E Series XC2S100E pq-208 FPGA chip to complete SPWM wave PWM control signal wave and control the power electro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4187
    • 提供者:申小强
  1. OFDM_Security

    0下载:
  2. This a Simulink model that demonstrates an algorithm that applies wireless security on physical layer. The demonstration is based on 802.11a (simplified) and receiver is implemented on Xilinx Virtex 4 FPGA. The RAR file inlcudes 2 files: 1. Simul
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:160659
    • 提供者:徐滨
  1. Erosion1

    0下载:
  2. 运用FPGA xilinx的system gennerator对图片进行腐蚀-Using the system gennerator FPGA xilinx corrosion images
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:10204
    • 提供者:张林
  1. ElectronicEye

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1264965
    • 提供者:Enzo
  1. XilinxFPGA

    0下载:
  2. 可以很快学会使用xilinx开发环境ISE,是一个不错的初级入门文件。推荐。-the PDH can easy make u know the sample knowlege on FPGA software ISE.it is worthy reading.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1736088
    • 提供者:jason
  1. Mark-test

    0下载:
  2. This file is a project consisting of Mark containing all the project from a to z in vdhl code and works on fpga spartan xilinx board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8608411
    • 提供者:znoor
  1. WirelesscommunicationFPGAdesign.Verilog

    0下载:
  2. 无线通信FPGA设计[田耘等编著][程序源代码]_2010112514154616,用Xilinx开发,调用modelsim进行仿真。-Wireless communication FPGA design [TianYun, etal] [source code] _2010112514154616, use Xilinx development, call modelsim simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:169857
    • 提供者:赵撼坤
  1. sssss

    0下载:
  2. 掌握FPGA可编程门阵列的基本方法 2).掌握Xilinx ISE 9.0的基本使用方法以及在ise的环境下导入51核及其配置方法 3).学会将keil编译成功个hex文件变为coe文件,导入例化的rom 4).学习设计核的关键与方法 -Programmable Gate Array FPGA to master the basic method 2). Xilinx ISE 9.0 to grasp the basic use and the environment in t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:399509
    • 提供者:liujia
  1. DSP48E1_Slice_User_Guide

    0下载:
  2. xilinx Virtex-6 系列FPGA的DSP模块DSP48E1使用手册Virtex-6_FPGA_DSP48E1_Slice_User_Guide.-The user s guide forDSP48E1 Slice of the xilinx virtex fpga.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:735082
    • 提供者:李伟
  1. fft_2011_3_23(COMPLETE-FFT1024)

    1下载:
  2. VERILOG FFT IP核调用,以及其控制文件-VERILOG FFT IP core call, as well as its control file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-07
    • 文件大小:20978688
    • 提供者:贾斌
  1. IO_controll

    0下载:
  2. this a controller, mainly for the nexys2 board based around the spartan 3E fpga from xilinx. controlls various outputs and inputs.-this is a controller, mainly for the nexys2 board based around the spartan 3E fpga from xilinx. controlls various outpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:724
    • 提供者:safe_cpu
  1. HOLA

    0下载:
  2. A simple practice with fpga xc3s200 xilinx, shows the word HOLA on the four displays. The source code is very simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:701948
    • 提供者:winoman
  1. Design_for_cost_Workshop_student_v5d

    0下载:
  2. FPGA XILINX v5的介绍资料,比较好用-FPGA XILINX v5 of the presentation materials, relatively easy to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:858864
    • 提供者:wei
« 1 2 ... 32 33 34 35 36 3738 39 40 41 42 ... 48 »
搜珍网 www.dssz.com