CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - can verilog

搜索资源列表

  1. UART_VHDL

    0下载:
  2. 由于微电子学和计算机科学的迅速发展,给EDA(电子设计自动化)行业带来了巨大的变化。特别是进入20世纪90年代后,电子系统已经从电路板级系统集成发展成为包括ASIC、FPGA/CPLD和嵌入系统的多种模式。可以说EDA产业已经成为电子信息类产品的支柱产业。EDA之所以能蓬勃发展的关键因素之一就是采用了硬件描述语言(HDL)描述电路系统。就FPGA和CPLD开发而言,比较流行的HDL主要有Verilog HDL、VHDL、ABEL-HDL和 AHDL 等,其中VHDL和Verilog HDL因适合
  3. 所属分类:Other systems

    • 发布日期:2017-03-23
    • 文件大小:291305
    • 提供者:lilei
  1. jiaotongdeng_Verilog

    0下载:
  2. 十字路口交通灯控制器,是课程的结课设计报告,自己写的verilog语言,在quartus ii环境下仿真,具有参考意义。 -traffic signal controllers and It is a subject design report, written in verilog, quartus ii environment, and can be used with reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:300048
    • 提供者:邓涛
  1. UART_verilog

    0下载:
  2. 一个uart的Verilog代码,希望能够帮助你做UART逻辑。-Code about uart. You can use it as an example when desighn UART logic.
  3. 所属分类:Com Port

    • 发布日期:2017-03-30
    • 文件大小:148040
    • 提供者:Jin Zhang
  1. I2C_xo

    0下载:
  2. IIC的verilog源码,可以在Lattice的XO DEMO板上运行的IIC代码。内附说明文件-IIC' s verilog source code, you can Lattice' s XO DEMO board to run IIC code. Included documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6569211
    • 提供者:高小高
  1. xiaoxipro

    0下载:
  2. 某多功能世界电子时钟产品的verilog 源代码, 包含闰年等众多功能, 可以直接下载入fpga使用-A multi-function products in the world electronic clock verilog source code, including leap year and many other features, can be directly downloaded into the fpga to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:623115
    • 提供者:johnnyz
  1. Can_be_integrated_Verilog_syntax

    0下载:
  2. 可综合的Verilog语法(剑桥大学,影印), 语法全面,适合学习或研发人员参考-Can be integrated Verilog syntax (Cambridge, photocopying), grammar comprehensive reference for learning or R & D personnel
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:299493
    • 提供者:xiaogu
  1. Guide_to_HDL_Coding_Styles_for_Synthesis

    0下载:
  2. 讲述了HDL编码风格的一本好书,不论使用VHDL或verilog的都可以-HDL coding style tells a good book, regardless of the use of VHDL or verilog can take a look at the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:211766
    • 提供者:aegis
  1. traffic

    0下载:
  2. 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件,可编程控制器PLC,单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的Verilog HDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAX+PLUS 集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。-Intersection traffic signal systems to ach
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:676
    • 提供者:沈田
  1. VerilogHDL

    0下载:
  2. 这是学习Verilog的好的课件!!分享给大家,希望可以互相帮助。-It is good to learn Verilog courseware! ! We share the hope that you can help each other.
  3. 所属分类:Other systems

    • 发布日期:2017-05-19
    • 文件大小:5302272
    • 提供者:姚彦
  1. Verilogobouttelephone

    0下载:
  2. verilog的一个电话设计的源代码,初学者和设计着可以参考-a phone designed for verilog source code, can refer to the beginners and design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1292
    • 提供者:陈诺
  1. complete

    0下载:
  2. 基于Verilog写的测信号频率和幅度得程序,可用-Written in Verilog-based test signal frequency and amplitude were procedures, can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:452959
    • 提供者:zhangweifeng
  1. calculator

    0下载:
  2. 课设一个,又臭又长,是一个用verilog编写的计算器,对应革新科技的某个sopc开发平台,键盘会扫描,七段二极管会译码且是并行输出,上传的是整个工程,在该开发平台上基本正常,主程序段编写的较为幼稚,希望大家多多扔玉。注:主程序段预计做八位计算器,后来因为实验平台只有六个数码管无奈之下后两位没接,主程序中的ac有问题,在开发平台上没效果,压缩包里的图是主程序在quartus下的仿真图,开发环境是quartus,不知应选哪项。最后:初次上传欢迎指正 -Set up a class, but als
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10809099
    • 提供者:raven
  1. sdr_verilog

    0下载:
  2. 用Verilog实现SDR_SDRAM的控制器,可用FPGA实现对普通SDRAM的读写操作!-SDR_SDRAM using Verilog implementation of the controller, the FPGA can be used to achieve the ordinary SDRAM read and write operations!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:37635
    • 提供者:许文建
  1. BIN_CV_MEN

    0下载:
  2. 可將2進位檔案 轉換成適合verilog應用的文字檔-2 into digital files can be converted to a text file for verilog applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1440
    • 提供者:Henry
  1. SPI

    0下载:
  2. 该程序是SPI的verilog程序,经过我的调试,是可以直接用的。-The program is SPI, verilog procedure by going through my debugging, it can directly use.
  3. 所属分类:3G develop

    • 发布日期:2017-04-09
    • 文件大小:1605604
    • 提供者:孙联超
  1. ALUALUcontrol

    0下载:
  2. 实现32位的ALU,使其能够支持基本的指令。用Verilog HDL语言或VHDL语言来编写,实现ALU及ALU控制器。 -To achieve 32-bit ALU, so that it can support the basic directives. With the Verilog HDL language or VHDL language to write, implement ALU and the ALU controller.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1060421
    • 提供者:于伟
  1. fir_memory

    0下载:
  2. 用memory编写的verilog代码,可用于工程应用,已经过仿真-Verilog code written with the memory can be used for engineering applications, has been simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:740
    • 提供者:于水洋
  1. example

    0下载:
  2. 自己编写的经过QuartusII验证的Verilog HDL程序,可以实现常见功能-After QuartusII their written procedures for verification of the Verilog HDL, can achieve common features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5689
    • 提供者:shangyong
  1. VerilogExamples

    0下载:
  2. Verilog大量例程,可用于Verilog的学习-Verilog a large number of routines that can be used to learn Verilog
  3. 所属分类:source in ebook

    • 发布日期:2017-04-08
    • 文件大小:156914
    • 提供者:chenjin
  1. Chapter-8

    0下载:
  2. Verilog编写的CAN通讯程序,通过验证,并支持CAN1.1,CAN2.0b协议。-CAN communication procedures written in Verilog, through validation, and support CAN1.1, CAN2.0b agreement.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:687144
    • 提供者:张跃平
« 1 2 ... 29 30 31 32 33 3435 36 37 38 39 ... 50 »
搜珍网 www.dssz.com