CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - can verilog

搜索资源列表

  1. MIPS_final-version

    0下载:
  2. 以Verilog所撰寫的Booth’s Algorithm Multiplier,可加到NiosII CPU之上,完成一道NiosII CPU的新指令。-Written by Verilog Booth,' s Algorithm Multiplier can be added to the above NiosII CPU to complete a the Nios II CPU command.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:9105
    • 提供者:Brandon
  1. S6_LCD_V

    0下载:
  2. 学习LCD的程序,可以运行,对学习verilog很有帮助。-Learning the procedures of the LCD, you can run, very helpful for learning verilog.
  3. 所属分类:source in ebook

    • 发布日期:2017-04-10
    • 文件大小:1197541
    • 提供者:李雷
  1. S11_USB

    0下载:
  2. 学习USB编程,可以运行,对学习verilog很有帮助-Learning the USB programming, you can run, be helpful to learn verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1691858
    • 提供者:李雷
  1. T6_SRAM

    0下载:
  2. 学习SRAM编程,可以运行,对学习verilog很有帮助-Learning SRAM programming, you can run verilog helpful in learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1875533
    • 提供者:李雷
  1. Mstateei

    0下载:
  2. 米勒解码器的状态转换模块。用verilog语言编写写,ISE为开发环境 ,经测试可直接使用。 -Miller decoder state transition module. Verilog language writing, ISE development environment has been tested and can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-16
    • 文件大小:275594
    • 提供者:guowai
  1. signal_generator

    0下载:
  2. 信号发生器 可以通过该程序产生对应的波形 用Verilog语言编写实现 希望能对大家有帮助-The signal generator can generate through the program corresponding to the waveform using the Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:429151
    • 提供者:于梦磊
  1. clock_2

    0下载:
  2. verilog hdl 时钟程序,数码管显示,并可设置闹钟-verilog hdl clock program, the digital display, and can set the alarm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1057838
    • 提供者:sujy
  1. demo110

    0下载:
  2. 状态机,检测状态110,小演示程序,可直接运行,verilog hdl-State machine, the detection state 110, a small demo program can be run directly, verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:957
    • 提供者:
  1. BVerilog_examo

    0下载:
  2. 关于FPGA的书籍,介绍了大量的Verilog实例例,对初学者很有帮助 ,经测试可直接使用。 -FPGA book introduces the Verilog instance cases, useful for beginners, has been tested and can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:113684
    • 提供者:hehe88999
  1. VSELLLERRe

    0下载:
  2. 一种基于verilog HDL的自动售货机控制电路设计:能对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机能接受1元,5角,111角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示出来以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号I -Verilog HDL-based vending machine control cir
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-28
    • 文件大小:1571
    • 提供者:清醒
  1. 3Code_for_Medx

    0下载:
  2. 3x3中值滤波器的FPGA实现现(VERILOG)可直接使用。 -3x3 median filter FPGA implementation of the present (VERILOG) can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2015-07-05
    • 文件大小:54272
    • 提供者:zenghui411
  1. LCD

    0下载:
  2. verilog实现的在1602LCD上实现的时钟计数器,可以显示一个电话号码和动态时钟,在EP2C8上测试过-verilog achieve 1602LCD on the clock counter, you can display a phone number, and dynamic clock, tested on the EP2C8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1899
    • 提供者:宋伟杰
  1. rotary

    0下载:
  2. 采用verilog语言编写的rotary encoder程序,可以识别出旋转方向。-Rotary encoder verilog language program, you can identify the direction of rotation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:700
    • 提供者:
  1. ste_svpwm

    3下载:
  2. 实用Verilog编写的SVPWM程序,产生出SVPWM波形,可用于实现同步电机或者异步电机的空间矢量控制算法。-Practical Verilog of SVPWM written procedures, resulting in the SVPWM waveform can be used to implement the space vector control algorithm of the synchronous motor or induction motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-29
    • 文件大小:3335168
    • 提供者:zhouming
  1. EPM240-board

    0下载:
  2. 基于EPM240的入门实验拥有大量的实验历程完全可以学习掌握Verilog语言。-Based on the the EPM240 entry experiments have a large number of experimental course can learn to master the Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:1527808
    • 提供者:gcy
  1. uart_trans

    1下载:
  2. 使用Verilog语言编写的多字节串口发送程序,可以同时发送56个字节。-Multi-byte serial port to send a program using Verilog language, you can also send 56 bytes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-09
    • 文件大小:1024
    • 提供者:
  1. WVerilogHDLi

    0下载:
  2. 用Verilog HDL语言编写的的跑马灯小程序,可直接在FPGA上运行 -Marquee applet written using Verilog HDL language can be directly run on the FPGA
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-17
    • 文件大小:149114
    • 提供者:lnwjyy
  1. pwm_out

    0下载:
  2. 用verilog hdl编写的高效PWM模块,可以通过键盘控制占空比和周期,并在数码管上得以显示-Verilog hdl write efficient PWM module can be controlled through the keyboard duty cycle and cycle and can be displayed on the digital tube
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:417772
    • 提供者:钱世俊
  1. frequency

    0下载:
  2. 能够检测方波正弦波以及锯齿波的频率,并且以及试过可以运行,采用的开发环境是ISE,编程语言是Verilog-Able to detect a square wave frequency of the sine wave and sawtooth wave, and as well tried can run the development environment is the ISE, the programming language is Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:681575
    • 提供者:Owen
  1. booth

    0下载:
  2. this implementation of booth multiplier. by this we can implement booth mul in vhdl. we can also implement in verilog.-this is implementation of booth multiplier. by this we can implement booth mul in vhdl. we can also implement in verilog.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:285964
    • 提供者:HARISH MADUPU
« 1 2 ... 44 45 46 47 48 4950 »
搜珍网 www.dssz.com