CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - fir filter .VHDL

搜索资源列表

  1. FIRvhdl

    0下载:
  2. 用vhdl实现一个fir滤波器 设计要求: 1.最小阻带衰减-30db。 2.带内波动小于1db. 3.用MATLIB与MAXPLUS2联合设计与仿真-use VHDL to achieve a fir filter design requirements : 1. The smallest stop band attenuation - 30dB. 2. With fluctuating within less than 1DB. 3. With MATLIB with MAX
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3072
    • 提供者:达闻西
  1. 34105908-Multipliers-Using-Vhdl

    0下载:
  2. ABSTRACT: Low power consumption and smaller area are some of the most important criteria for the fabrication of DSP systems and high performance systems. Optimizing the speed and area of the multiplier is a major design issue. However, area and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:380321
    • 提供者:phitoan
  1. FIR

    0下载:
  2. 采用vhdl语言 设计FIR滤波器,经调试好使,献给广大硬件开发的朋友参考学习-FIR filter design using vhdl language, so that upon commissioning, the development of friends dedicated to the general hardware reference learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7763
    • 提供者:youlijun
  1. FIR-LOOP-

    0下载:
  2. 数字接收机中的FIR滤波器,环形滤波器设计参考,VHDL代码-the FIR filter, loop filter design in a digital receiver,vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1033
    • 提供者:rickdecent
  1. fir-filter

    0下载:
  2. fft的vhdl实现源代码,具体的有心情有兴趣的可以自己下载下来看下,因为我也是在入门中不懂。-fft verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-09
    • 文件大小:7241728
    • 提供者:liu
  1. 26352153-VHDL-Coding-for-FIR-Filter

    0下载:
  2. VHDL filter design powerpoint
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:560554
    • 提供者:HIDIR
  1. FIR

    0下载:
  2. fir数字滤波器,VHDL语言编程,先通过MATLAB计算得到参数。-fir digital filter VHDL language programming, first obtained by MATLAB calculated parameters.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:945935
    • 提供者:jinhong
  1. fir

    0下载:
  2. 利用VHDL和Verilog HDL语言实现FIR滤波器-Using VHDL and Verilog HDL language to realize FIR filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:49442
    • 提供者:姚远
  1. fir

    0下载:
  2. 该程序实现了一个FIR滤波加速器,该程序在FPGA板上开发,通过使用VHDL语言来定义RS232端口的使用-design a FIR Filter Accelerator based on FPGA board and RS232 interface using VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:594279
    • 提供者:浦馨
  1. fir

    0下载:
  2. 本历程是用 VHDL实现fir滤波器cds算法的历程,熟悉CDSsuanfa -This process is to achieve fir filter algorithm cds course, familiar with CDSsuanfa
  3. 所属分类:Other systems

    • 发布日期:2017-11-18
    • 文件大小:634126
    • 提供者:恩飞
  1. FIR-filter

    0下载:
  2. VHDL设计的FIR滤波器,由3个文件组成:FIR.VHD、PACK.VHD和signed.vhd。testfir.vhd为测试平台。-VHDL designed FIR filters, composed by the three documents: FIR.VHD, PACK.VHD and signed.vhd. The testfir.vhd is a testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:7864
    • 提供者:叶宗英
  1. FIR

    0下载:
  2. 用VHDL语言写的FIR滤波器,简单易懂,拿来直接用,10节窗函数法带通滤波器-Write VHDL FIR filter, easy to understand, be used directly, 10 bandpass filter window function method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:87886
    • 提供者:Mr 致圆 彭
  1. FIR

    0下载:
  2. 用VHDL写的FIR滤波器,前端有DDS产生波源-Write VHDL FIR filter, front end DDS generated wave source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5413703
    • 提供者:huag
  1. Ref-exstfir-VHDL-Code

    0下载:
  2. code for an fir filter of n length order with different multipliers and adders
  3. 所属分类:LabView

    • 发布日期:2017-04-28
    • 文件大小:29581
    • 提供者:Dwarakanadh
  1. filter

    0下载:
  2. 此程序是一个用VHDL语言编写的fir滤波器。经过了仿真验证,很好用。-This procedure is a VHDL language fir filter. After the simulation, very good use.
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:74356
    • 提供者:李振宇
  1. FIR-VHDL

    0下载:
  2. 15阶FIR滤波器的设计VHDL代码 ,包括顶层模块及各模块的VHDL设计代码-15 order FIR filter design VHDL code, including the top-level module and each module VHDL design code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2264
    • 提供者:张宇航
  1. VHDL

    0下载:
  2. VHDL. FIR 滤波器 声音处理。 I2C configuration inerface, -VHDL. FIR filter sound processing. I2C configuration inerface,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:6611
    • 提供者:水彬
  1. fpga-fir

    0下载:
  2. 使用Quartus II 9.1完成低通FIR滤波器的实现,在任意开发板上都能实现。操作简单,使用的是VHDL和Verilog语言-Use the Quartus II 9.1 the realization of the complete low pass FIR filter, can be implemented in any development board. The operation is simple, the use of VHDL and the Verilog langua
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1405365
    • 提供者:韩闯
  1. FIR

    0下载:
  2. this file is vhdl code of fir filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:40979
    • 提供者:nasser
  1. VHDL-FIR-filters

    0下载:
  2. ynthesizable FIR filters in VHDL with a focus on optimal mapping to Xilinx DSP slices. This repository contains a transposed direct form, systolic form for single-rate FIR filters and a custom parallel polyphase FIR decimating filter. The VHDL has be
  3. 所属分类:其他

    • 发布日期:2018-04-21
    • 文件大小:37888
    • 提供者:Abkoti
« 1 2 3 4 56 7 8 9 »
搜珍网 www.dssz.com