CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - fpga lcd

搜索资源列表

  1. analysisvoltagesystem

    0下载:
  2. 摘要:电压监测仪的校验工作量大、 效率和精度低, 为保证电压监测仪性能指标, 研制了一种对单相电压监测统计仪进行精度、 灵敏度、 谐波、 时间试验的校验装置。装置以高速单片机为核心, 利用丰富的P C机资源、 融合F P G A 技术、 点阵图形液晶等技术, 实现监测仪误差校验过程的自动控制、微机数据管理、 程控操作、 故障保护。实验结果表明装置综合误差为0 . 1 级, 输出电压失真度小于0 . 5 , 系 统运行准确、 数据传输可靠、 操作方便及功能完善。-Abstract: The v
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:455667
    • 提供者:linfeng
  1. A3P030FPGAl602LCD

    0下载:
  2. 利用actel公司的proasic3系列FPGA A3P030,VHDL编程,实现对LCD模块1602C的显示控制.已经调试通过.已经形成模版,可以进一步使用开发.-Actel companies use proasic3 series FPGA A3P030, VHDL programming, implementation of the LCD display control module 1602C.Has debugging. Has become the template, you c
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1865
    • 提供者:sprlei
  1. lcddriver

    0下载:
  2. 基于FPGA的lcd的驱动程序,用VHDL语言编写-FPGA-based driver lcd with VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:11945
    • 提供者:ghost
  1. Ctl_LCD

    0下载:
  2. 采用FPGA控制LCD。程序中用了两个状态机-FPGA to control the use of LCD. Procedures with two state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1007263
    • 提供者:石海龙
  1. S6_LCD_V

    0下载:
  2. 利用FPGA和硬件描述语言来控制字符型液晶显示器的读写-The use of FPGA and hardware descr iption language to control the read and write character LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:816465
    • 提供者:刘飞
  1. lcd_test

    0下载:
  2. 基于FPGA的LCD1602a显示.在液晶屏上显示英文字符“My rongrong”-FPGA-based display LCD1602a. In LCD display English characters "My rongrong"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:479766
    • 提供者:杨译名
  1. LCD_vhdl

    0下载:
  2. LCD控制VHDL程序与仿真, FPGA驱动LCD显示中文字符“年”程序-lcd vhdl driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:4882
    • 提供者:jz
  1. DM10_KX8051_LCD128X64_C5T

    0下载:
  2. 在fpga内拟一个51单片机的核,实现按键控制12864液晶屏的显示-Fpga in the MCU 51 to be a nuclear, to achieve the control button 12864 LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:551262
    • 提供者:邢旭
  1. 9927416lcd1602

    0下载:
  2. 非常常见的液晶lcd1602控制程序,vhdl代码,可用于FPGA开发使用-Very common LCD lcd1602 control procedures, vhdl code, can be used to develop the use of FPGA
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2957
    • 提供者:zhang
  1. Mars-EP1C6-F_code2

    0下载:
  2. 此包为FPGA学习板接口实验程序源代码,共包括13个实验程序,有7段数码管,1602液晶显示,12864液晶显示,I2C总线,串口通信,拨码开关等.-The packet interface to FPGA board experimental procedure to study the source code, a total of 13 experimental procedure, there are 7-segment digital tube, 1602 LCD 12864 LCD,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4633951
    • 提供者:sunxh092
  1. lcd_driver

    0下载:
  2. 用fpga驱动lcd的原代码,是用vhdl语言实现的-drive lcd by fpga,the source program is written by vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1075
    • 提供者:huzhaoji
  1. On_fpga_lcd_driver_chip_design

    0下载:
  2. 基于fpga的液晶驱动开发过程相关资料,用于借鉴和学习-Fpga-based LCD driver development process relevant information, for reference and learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:262430
    • 提供者:huzhaoji
  1. DM10_KX8051_LCD128X64_C5T

    0下载:
  2. FPGA中嵌入8051的核 并且实现控制128*64的液晶显示-FPGA embedded in 8051 and to achieve control of the nuclear 128* 64 LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:440125
    • 提供者:万海
  1. vga_lcd_latest[1].tar

    0下载:
  2. verilog编写的LCD控制器,FPGA仿真测试正确-lcd controller verilog
  3. 所属分类:CSharp

    • 发布日期:2017-05-08
    • 文件大小:1795859
    • 提供者:赵元表
  1. sessionspage.asp_files

    0下载:
  2. LCD program for fpga projects
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:55115
    • 提供者:Sara
  1. VGAVesaDdc_pinout_files

    0下载:
  2. vhdl code for using lcd in an fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:46144
    • 提供者:Sara
  1. db15-vga-pinout_files

    0下载:
  2. vhdl code for using lcd in a fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:84489
    • 提供者:Sara
  1. lcd_controller_latest.tar

    0下载:
  2. FPGA上实现的LCD显示器经典的控制程序-FPGA implementation of LCD monitors on the classic control procedures
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-16
    • 文件大小:4370787
    • 提供者:zhang
  1. DSP

    0下载:
  2. DSP硬件论文集,包含22篇DSP相关论文,caj格式。都是花银子买来的。 DSP与点阵式LCD显示器的接口设计.caj DSP体系结构在提高实时信号处理方面的作用.caj DSP器件的原理及应用.caj DSP在机械设备故障诊断中的应用.caj DSP应用的结构和发展方向.caj DSP技术及其应用讲座(六)──数字通信用数字调制解调器中的DSP技.caj DSP技术及其应用讲座第7讲语音识别及其DSP实现.caj DSP技术及其应用讲座第三讲数字信号处理器的发
  3. 所属分类:DSP program

    • 发布日期:2017-04-09
    • 文件大小:1061276
    • 提供者:刘伟
  1. FPGA_VHDL_1602

    0下载:
  2. FPGA控制1602液晶源代码 VHDL源文件-FPGA source code control 1602 LCD VHDL source file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:84240
    • 提供者:gaodong
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 24 »
搜珍网 www.dssz.com